NSF Center for Micro and Nanoscale Contamination Control

Similar documents
The Removal of Nanoparticles from Nanotrenches Using Megasonics

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

NANO AND MICROSCALE PARTICLE REMOVAL

Experimental and Analytical Study of Submicrometer Particle Removal from Deep Trenches

MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson

Spring Lecture 4 Contamination Control and Substrate Cleaning. Nanometer Scale Patterning and Processing

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility

Effects of Size, Humidity, and Aging on Particle Removal

Fabrication of ordered array at a nanoscopic level: context

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS

Surface Properties of EUVL. Laser Shock Cleaning (LSC)

Holographic Characterization of Agglomerates in CMP Slurries

Non-contact removal of 60-nm latex particles from silicon wafers with laser-induced plasma

Model 2300XP PSL & Process-Particle Wafer Deposition System

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

A short pulsed laser cleaning system for EUVL tool

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Shock Pressure Measurements for the Removal of Particles of Sub-micron Dimensions from Silicon Wafers

Sensors and Metrology. Outline

Acoustic Streaming Effects in Megasonic Cleaning of EUV Photomasks: A continuum model.

Nanotechnology Fabrication Methods.

Nanometer Ceria Slurries for Front-End CMP Applications, Extendable to 65nm Technology Node and Beyond

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

MSN551 LITHOGRAPHY II

EE C245 ME C218 Introduction to MEMS Design Fall 2007

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

Mask/Reticle Cleaning Systems

General concept and defining characteristics of AFM. Dina Kudasheva Advisor: Prof. Mary K. Cowman

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures

Defects Panel Discussion

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Methods for charge and size characterization colloidal systems

Supplementary Information

Nanostructures Fabrication Methods

1. Narrative Overview Questions

Supporting Information

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

2.76/2.760 Multiscale Systems Design & Manufacturing

EUV Lithography Towards Industrialization

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

L8: The Mechanics of Adhesion used by the Gecko

Nanotechnology. Gavin Lawes Department of Physics and Astronomy

There's Plenty of Room at the Bottom

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Regents of the University of California

XBC300 Gen2. Fully-automated debonder and Cleaner

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

SUPPLEMENTARY FIGURES

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanosphere Lithography

A novel cleaner for colloidal silica abrasive removal in post-cu CMP cleaning

Outline Scanning Probe Microscope (SPM)

NanoLab, Inc 55 Chapel Street, Newton, MA USA

Atomic Force Microscopy imaging and beyond

DQN Positive Photoresist

Fabrication Technology, Part I

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

SYNTHESIS OF INORGANIC MATERIALS AND NANOMATERIALS. Pr. Charles Kappenstein LACCO, Laboratoire de Catalyse en Chimie Organique, Poitiers, France

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

Instrumentation and Operation

THE INFLUENCE OF PUMPS ON SURFACE PREPARATION

EECS C245 ME C218 Midterm Exam

Particle removal in linear shear flow: model prediction and experimental validation

Thin Wafer Handling Challenges and Emerging Solutions

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Auger Electron Spectroscopy (AES)

The CMP Slurry Monitor - Background

Nano-sized ceria abrasive for advanced polishing applications in IC manufacturing

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

! Importance of Particle Adhesion! History of Particle Adhesion! Method of measurement of Adhesion! Adhesion Induced Deformation

CVD: General considerations.

Supplementary information. Derivatization and Interlaminar Debonding of Graphite-Iron Nanoparticles Hybrid

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Slide 1 Raymond Jin, Adcon Lab, Inc.

Measuring sub-50nm particle retention of UPW filters

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

npcd NanoParticle Collection Device for Ultra-Pure Water

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Nanoscale IR spectroscopy of organic contaminants

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supporting Information

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure

Effect of Pump Induced Particle Agglomeration On CMP of Ultra Low k Dielectrics

ESH Benign Processes for he Integration of Quantum Dots (QDs)

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

ENV/JM/MONO(2015)17/PART1/ANN2

Efficient Cleaning Of Silicon Wafers Using Ultrasonic

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

AFM Imaging In Liquids. W. Travis Johnson PhD Agilent Technologies Nanomeasurements Division

Transcription:

NSF Center for Micro and Nanoscale Contamination Control Research Focus at the NSF Center for Nano and Microcontamination Control Ahmed Busnaina W. L. Smith Professor and Director NSF Center for Microcontamination Control, Northeastern University, Boston, MA 2115-5 Tel: 617 373-2992, Fax: 617 373-2921 Email: a.busnaina@neu.edu, URL: WWW.CMC.NEU.EDU

Northeastern University Enrollments (21-2) 22,599 students Undergraduate enrollment: 18,949 Graduate enrollment: 3,65 Faculty: 115

Goals and Objectives Our goal is to provide solutions and state of the art techniques for micro and nanoscale contaminants characterization, control and removal in manufacturing and fabrication processes.

Research Focus Fundamentals of surface cleaning and preparation. Understanding of physical and chemical cleaning mechanisms using megasonics, brush and other techniques including damage evaluation and mitigation. CMP and Post-CMP applications. Cleaning of EUV reticles Measurement of particle adhesion force Removal of Nanoparticles Laser Shock Cleaning High Concentration Ozone cleaning Super Critical CO2 Cleaning Particle generation, transport and deposition. Particulate Contamination in low pressure processes (LPCVD, Sputtering, ion implant, etc.) Contamination during wafer handling.

Research Highlights Metrology of nanoparticles down to 5 nm Particle medium has a profound effect on particle deposition and removal Effective nanoparticle removal down to 28 nm demonstrated Effective deep trench cleaning demonstrated Physical modeling is used to explain the time effect in the cleaning of trenches Physical modeling is used to show the effect of frequency in the removal of nanoparticles

Membership Status Memebership from 23-26 Seagate, MN EKC Technology (DuPont), CA PCT Systems, CA Cypress Semiconductor DANanomaterials (Air Products) Ionics pure solutions, Sandia, Climax Engineered Materials Ridgetop Group Inc. Intel, IBM

Northeastern s Kostas Nanomanufacturing Facility The facility includes a 1, square foot cleanroom. Our facility includes a complete 6 wafer fabrication facility including bulk micromachining, metal surface micromachining and E-beam lithography. It also include a CMP tool (with end point detection), laser surface scanner, Laser airborne and liquid counter (2 nm resolution), CNC particle counters (1 nm resolution), Zeta potential measurement down to 1 nm particles, several cleaning tools, Atomic Force Microscope in addition to optical and FESEM. The new George J. Kostas Nanomanufacturing Center at NEU.

Northeastern s Kostas Nanomanufacturing Facility 1, square foot cleanroom Full 6 wafer fab Nanolithography System (E-beam, AFM) capable of making structures down to 2 nm Bulk & metal surface micromachining Laser surface scanner (2 nm res.) Laser airborne counter (2 nm res.) TSI CNC particle counters (2 nm res.) PSIA XE15 Atomic Force Microscope Nikkon Fluorscence microscope Karl Ziess Supra 25 FESEM with EDS Nanoparticle Zeta potential measurements Surface energy and contact angle automated measurement Zygo Surface Profiler CMP tool with end point detection Nanoimprint Lithography

Surface Cleaning Future 65nm poly Si lines Issues Nanometer Thin Film New Materials Nanometer Feature Size Single Wafer Cleaning CMP Process EUVL Process Dry Cleaning Challenges Clean without Etching - Non RCA (H 2 O 2 based) Chemistry Clean without Pattern Damage - No Megasonics or Brush clean? CMP Induced Defects Zero Defect on EUVL Mask Cleaning Using SC CO2 Dry Laser Shock Cleaning

Particle Adhesion: Covalent Bonds for glass and Silica Particles 1E+6 SEM image of glass chips PSI - Shear 1E+5 1E+4 Experimental Data Soda-lime fiber in air (Griffith) Borosilicate fiber in air (Jerkov) 1E+3 1 1 1 1 Microns Bond strength vs. particle diameter ( Experiment data compared with Griffth's tensile strength of glass fiber and with Jerkov's tensile strength of glass fiber) Feng, J, Busnaina, A. A., W.P.Ryszytiwskyj, Surface Engineering 21, Vol.17, No.5.

How Does Adhesion Change with Time and Environmental Conditions? Adhesion Force vs. Aging.3.25 dry 55%RH Need to study adhesion van der Waals and/or capillary induced deformation Covalent bonds promoted by moisture Adhesion Force(dyn).2.15.1.5. 1 2 3 4 5 6 7 Aging Time(week) wet 55%RH wet 1%RH van der Waals force(without deformation) van der Waals force(with deformation) Covalent bonds for silica particles (Busnaina, NEU, 1994, 2, 21)

Particle Contact Area 1. Krishnan, S., Busnaina, A. A., Rimai, D. S. and DeMejo, L. P., Fundamentals of Adhesion and Interfaces, edited by. Rimai, DeMejo and. Mittal, VSP BV press, The Netherlands, 1995, 2. Krishnan, S., Busnaina, A. A., Rimai, D. S. and DeMejo, D. P., J of Adhesion Science and Technology, vol. 8, No. 11, 1994. 3. Feng, J., Busnaina, A., Steel, E. B., and Small, J. A., Proceedings, 24 th Annual Meeting of The Adhesion Society, Williamsburg, VA Feb. 25-28, 21. Contact radius / particle radius 5 4 3 2 1 PSL particle on SiO 2 SiO 2 particle on SiO 2 PSL deformation in 95% RH PSL deformation in 4% RH after 7 days PSL deformation in 4% RH after 3 days 1-3 1-2 1-1 1 1 1 Particle Diameter (micron) a/r=1

Interaction Forces between Wafer and Surface In liquid media Electrostatic Force Total Interaction Force Van der Waals Force Total Interaction Force van der Waals Force (Particle s size ) - Attractive + Electrostatic Force (Zeta Potential) + Repulsive or - Attractive : Key factor controlling deposition

Removal and Adhesion of Nano Particles Removal Percentage Moment Ratio U M R 1 Rem oval Perce ntage 9 8 7 6 5 4 3 2 1.2.4.6.8 1 1.2 1.4 1.6 1.8 2 Moment Ratio Removal Percentage vs. Moment Ratio (Silica Removal Experiment) The figure shows when RM >1, 8 % of particles are removed. 1.399R a RM RM δ Removal moment = Adhesion resisting moment Fd ( 1. 399R δ ) + Fdl a = F a a F elec. double layer O M A F Adhesion F drag Rolling removal mechanism

Acoustic Streaming Acoustic Flow Properties I = 7.75 W/cm 2 v(cm/s) 25 2 15 1 5 Streaming Velocity vs. Acoustic Power 1 M H z 85k Hz 76k Hz 36k Hz Boundary layer thickness (micron) 6 4 2 Acoustic, f=36khz Acoustic, f=76khz Acoustic, f=85khz Boundary layer thickness (micron) Streaming Velocity (m/s) u>.3c 1 3 1 2 1 1 1 1-1 1-2 Streaming Velocity (m/s) 5 1 15 2 25 3 Intensity (W /cm 2 ) 1 1 1 2 1 3 1 4 Frequency (k Hz) 1-3

Silicon Complete Nitride-D.I. removal Water, of silicon Temperature Nitride particles at 38 C ( 2nm) Using DI water Frame 1 2 May23.28-1um Si3N4 Removal Power Vs Time @38 12 Removal Efficiency For Silicon Nitride Particles Ranging From.26 to 1.18 um in diameters, Using D.I. Water & Bottom Megasonic Transducer, Temperature at 38 C Time (Sec) 11 1 9 8 7 6 5 4 64.4 61.3 67.4 7.4 76.5 73.4 79.5 82.5 85.5 88.6 91.6 94.6 1. 1. efficiency 1. 94.6 91.6 88.6 85.5 82.5 79.5 76.5 73.4 7.4 67.4 64.4 61.3 58.3 3 3 4 5 6 7 8 9 1 Power (%)

Complete removal of silica or alumina particles down to 1nm by single wafer megasonic cleaning with DI water only. 12 S in gle M e ga so nic C le a nin g P ro ce ss, T e m p = 3 5 o C R e m ova l E fficie ncy of S ilica P a rticle s.1 µ m 11 1 9 8 1. Time (sec) 7 6 5 4 3 2 1..99.98 1 2 3 4 5 6 Power (Watts).98

Visualization of Fluorescent Particles Fluorescent Cube Nikon G block filter 75 W Xenon arc lamp Housing for Xenon lamp Extra N.D filter Xenon Arc lamp 5 4 1 3 2 Particle Inspection Map on Wafer G block fluorescent filter specs. Red Fluorescing particle specs.

Images of Fluorescent Particles FE-SEM 1K zoom 63 nm Particles FE-SEM Optical Microscope Bright Field 1K zoom 63 nm Fluorescent Particles Optical Microscope Dark Field 1K zoom

Images of Fluorescent Particles The nano-particle detection has been verified using scanning electron microscopy (SEM). Has proven to be effective for single particle detection down to 5 nm particles. Agglomerated particles can be eliminated from the counting procedure by filtering the count by diameter and aspect ratio values. 45K

Nanoparticle (63 nm PSL) Removal Using Acoustic Streaming 1.% 98.% DI water 96.% removal efficieny (DI water) 94.% 92.% 9.% 88.% 86.% Bare Silicon wafer+di water EUV 4 nm Si_Cap ML wafer+di water EUV 11 nm Si_Cap ML wafer+di water 63 nm Before After (Busnaina, NEU, 24) 84.% 82.% 2 3 4 5 6 7 8 Time 1% Dilute SC1 chemistry PSL 9, 63 and 28 nm nanoparticles were removed from bare silicon wafers using the Single wafer megasonic cleaning tank Removal efficiency (SC1) 99% 98% Bare Silicon wafer+sc1 EUV 4 nm Si_Cap ML wafer+sc1 EUV 11 nm Si_Cap ML wafer+sc1 97% 2 3 4 5 6 7 8 NSF Center for Microcontamination Time Control (NEU, UA)

Nanoparticle (5 nm PSL) Removal Using Acoustic Streaming 5nm Particle Removal from 11nm Using SC1 Power (%) Time (mins) Temperatur e ( O C) Before After Removal Efficiency (%) 1. 87 7 37.5 182 1 2. 62.5 4.5 37.5 1398 1 5nm Particle Removal from 11nm Using DI water Power (%) Time (mins) Temperatur e ( O C) Before After Removal Efficiency (%) 1. 87 7 35 695 12 98.3 2. 87 8 35 938 21 97.8

Nanoparticle Removal Wafers and Masks Rem oval Percentage 1 9 8 7 6 5 4 3 2 1 Removal efficiency Removal Percentage Moment Ratio.2.4.6.8 1 1.2 1.4 1.6 1.8 2 1.2 1 99.8 99.6 99.4 99.2 99 98.8 98.6 Moment Ratio Removal efficiency as a Function of Time 2 4 6 Bare 8 Silicon / SC1 Time (min) 4 nm Si-Cap / SC1 Bare Silicon / DI-Water 4 nm Si-Cap / DI-water Removal Efficiency of 63nm PSL Particles after 2 minutes Removal Efficiency 1 99 98 97 96 95 94 99 98.8 1.2 1.17 h 1 1.37 99.9 1.21 1.6 1.5 1.4 1.3 1.2 1.1.9 Bare silicon Si-Cap Bare silicon Si-Cap DI-Water DI-Water Dilute SC1 Dilute SC1 MR = F MR = Re moval moment Adhesion resisting moment D ( 1.74R δ ) F a a Experimental Value Theoretical Value 1 Moment Ratio

Why does it take time to remove 63 nm particles? Total time =.1 second, Height= 1 cm, width=2 cm

Why does it take time to remove 63 nm particles?

Experimental & Computational Fluid Dynamics Simulation 1 um 5nm Particles 2 um A 1 um 63 nm Particles6 2 um 3 21A 45

Effect of Frequency on Nanoparticle Removal Frequency: 76 KHz Time Steps: t 1 Frequency: 1.2 MHz Time Steps: t 2 Total Time Total Time 2896 t 1 976 t 2 Re-deposition 1 µ 1 1 µ 1 t 2 =.63. t 1 t 1 = 4.7.t 2

Physical Cleaning Of Submicron Trenches Mixing and Cleaning in Steady and Pulsating Flow Steady flow induces a vortex inside the cavity. There is no convection between the vortex and the main flow. The transport of contaminant happens by diffusion only, which may take a long time depending on the trench size. Distance From Wafer Surface(um) 75 7 65 6 55 5 45 4 35 3 25 2 15 1 5 5 1 15 2 Steady Rinse Flow: u s = 15 cm/s Geometry: D/W = 5 :1 W=1mm D=5mm C-ion #/cm 3 1.5E+12 1.4E+12 1.2E+12 1E+12 8E+11 6E+11 4E+11 2E+11 1E+11 1E+1 1E+9 1E+8 1E+7 1E+6 Distance From Wafer Surface(cm).14.12.1.8.6.4.2 Streamlines and Concentration Contour.5.1.15.2 Steady Flow u=4.3 cm/s Distance Along Wafer Surface (um) time=1.s Distance Along Wafer Surface (cm) time = 3.9s External oscillating flow stimulates the vortex destruction and regeneration. Contaminants are dragged out of cavity by the expanded vortex. The vortex oscillating mechanism significantly enhances the mixing. Distance From Wafer Surface(um) 75 Oscillating Rinse Flow: u 7 s = cm/s u p =47cm/s u avg =15cm/s 65 f = 2 Hz 6 Geometry: D/W = 5 :1 55 W=1mm D=5mm 5 C-ion #/cm 3 45 1.5E+12 1.4E+12 1.2E+12 4 1E+12 8E+11 35 6E+11 4E+11 3 2E+11 1E+11 1E+1 25 1E+9 1E+8 2 1E+7 1E+6 15 1 5 5 1 15 2 Distance Along Wafer Surface (um) time=.5s Distance From Wafer Surface(cm).1.5.5.1.15.2 Distance Along Wafer Surface(cm) t/t= 1.5, time=.579s OSCILLATING FLOW f=25.9hz u s = u p = 13.5 cm/s u Avg =4.3cm/s W=1mm, D=.7mm 1E+12 1E+11 1E+1 1E+9 1E+8 1E+7

Experimental & Computational Fluid Dynamics Simulation The experiments are conducted in a PCT Single Wafer Megasonic Tank (76 khz). Trenches of 112 micron wide and 58 micron deep are used in the experiments. Particles were imaged before and after cleaning at the wafer surface, 1, 2, 3 micron depths along the sidewalls and at the bottom of the trench. Image pro-plus software is used to count the particles before and after cleaning, Stage Pro is used to zoom into the corresponding locations. Experimental conditions: Time: 1 min, 3 min, 5 min, 8 min and 15 mins. Power: 87 % (max 64 watt.) Temp: 25 o Degree Particle sizes ranging from.3 to.8 micron are used 112 µm 58 µm

Trench Cleaning (.3 micron) 2 micron below surface Bottom of the trench Before cleaning

Trench Cleaning (.3 micron) Megasonics At the surface of the trench (1 % Removal) 2 micron below surface (1 % Removal) After cleaning 3 minute 87 % power 25 o C Bottom of the trench (5 % Removal)

Particle Removal Experiments Single wafer megasonic cleaning using 3nm PSL particles in DI water at 25 oc 1% 8% Efficiency 6% 4% At the surface 1 micron below 2 micron below 2% % 11min 3 min 2 5 min 3 8 min 4 Bottom of Trench Time (minutes) Moment Ratio 3, 8 nm PSL particles Moment Ratio 12 1 8 6 4 2 MR=1 3.5 1.1 3.43 3.29 3.36 1.2 1.15 1.18 At Surface 1 1mm 2 2mm 3 Bottom 4of Trench below below 3nm 8nm

Megasonic Trench Cleaning Summary Cleaning for 1 minutes 3 minutes 5 minutes 8 minutes At the Surface (.3 µm) 1 % removal 1 % removal 1 % removal 1 % removal (.8 µm) 1 % removal 1 % removal 1 % removal 1 % removal 1 µm below surface (.3µm) 8 % removal 1 % removal 1 % removal 1 % removal (.8 µm) 1 % removal 1 % removal 1 % removal 1 % removal 2 µm below surface (.3 µm) 7 % removal 1 % removal 1 % removal 1 % removal (.8 µm) 9 % removal 1 % removal 1 % removal 1 % removal 3 µm below surface (.3 µm) 5 % removal 7 % removal 1 % removal 1 % removal (.8 µm) 7 % removal 1 % removal 1 % removal 1 % removal Bottom of the trench (.3 µm) 3 % removal 5 % removal 9 % removal 1 % removal (.8 µm) 5 % removal 8 % removal 1 % removal 1 % removal

Particle number chart for Ultrasonic and Megasonic Cleaning for 3 nm Particles Cleaning for Before 1 minute 3 minute 5 minute 8 minute 15 minute cleaning At the surface (megasonic) 234 1 (Ultrasonic) 268 132 81 52 2 1 µm below surface (megasonic) 84 65 (Ultrasonic) 79 47 3 22 15 2 µm below surface (megasonic) 74 58 (Ultrasonic) 76 54 37 31 24 Bottom of the trench (megasonic) 124 87 61 13 (Ultrasonic) 138 124 11 97 63 42

Comparison of Ultrasonic and Megasonic Cleaning for 3 nm Particles Cleaning for 1 minute 3 minute 5 minute 8 minute 15 minute At the surface (megasonic) 1 % Removal 1 % Removal 1 % Removal 1 % Removal 1 % Removal (Ultrasonic) 5 % Removal 7 % Removal 8 % Removal 1 % Removal 1 % Removal 1 µm below surface (megasonic) 8 % Removal 1 % Removal 1 % Removal 1 % Removal 1 % Removal (Ultrasonic) 4 % Removal 6 % Removal 7 % Removal 8 % Removal 1 % Removal 2 µm below surface (megasonic) 8 % Removal 1 % Removal 1 % Removal 1 % Removal 1 % Removal (Ultrasonic) 3 % Removal 5 % Removal 6 % Removal 7 % Removal 1 % Removal Bottom of the trench (megasonic) 3 % Removal 5 % Removal 9 % Removal 1 % Removal 1 % Removal (Ultrasonic) 1 % Removal 2 % Removal 3 % Removal 5 % Removal 7 % Removal

Why does it take time to remove the particles?

Experimental & Computational Fluid Dynamics Simulation Moment Ratio 3, 8 nm PSL particles Moment Ratio 12 1 8 6 4 2 MR=1 1.1 3nm 3.5 3.43 3.29 3.36 8nm 1.2 1.15 1.18 At Surface 1 1mm 2 2mm 3 Bottom 4of Trench below below

Experimental & Computational Fluid Dynamics Simulation The simulation shows: The vortex in the trench is transient The particle is trapped inside the vortex The vortex moves the particle inside the trench The flow is parallel to the trench wall Favorable for particle detachment The re-deposition slows down the removal process

Laser Induced Shock Wave Cleaning Gap Working Table Shock Wave Front Shock Wave Front Pulsed Laser Beam Wafer Particles Laser generated plasma induces hypersonic shock waves Nanoparticle removal is possible Damage depends on the laser power and focus point gap Visualization of laser-induced shock wave generated in the air (Source: Dr. Christian Parigger, UTSI, http://view.utsi.edu/cparigge/osa96/airimages.html)

Laser Induced Shock Wave Cleaning /DVHU6KRFN:DYH&OHDQLQJ/6&LVDURRP WHPSHUDWXUHSK\VLFDOFOHDQLQJSURFHVVWKDWKDV EHHQVKRZQWREHHIIHFWLYHLQWKHUHPRYDORI SDUWLFOHVGRZQWRQPIURPVLOLFRQZDIHUV 7RSYLHZ 6LGHYLHZ Laser irradiation 1 mm Shock wave front Shock Wave Propagation Gap Plasma Laser pulse a 2.7 µs Sample

LSC Removal of W Particles from Wafers Just 2 laser pulses irradiated Very effective for inorganic particles Large cleaned area high cleaning speed high throughput

LSC Wafer Cleaning System Schematic illustration (Model: LSC-H2)

Cleaning Efficiency of 5 & 6 nm PSL particle on 4 nm Si_cap ML wafer

Brush Cleaning 1 2 RM > 1 1 1 Removal > Adhesion Particle will be removed RM dl 1 1-1 1-2 RM = 1 RM < 1 Removal < Adhesion Particle can not be removed.1 umparticle, h=1um.1 umparticle, h=5um.1 umparticle, h=1um.1 umparticle, h=5um.1 umparticle, h=1um Brush 1-3 5 1 15 2 Brush Rotating Speed (RPM) h ---- Brush Particle distance F el 1.399R u << U=tip Velocity δ ' a O F a

Brush Cleaning brush brush brush Non - Contact Contact Ideal Contact

RM ( Non-Contact Brush Cleaning) (without double layer force).1 micron (with double layer force) 1 2 1 2 RM>1 1 1 RM> 1 Removal >Adhesion 1 1 Removal > Adhesion Particlewill beremoved Particlewill beremoved 1 RM= 1 1 RM= 1 RM 1-1 1-2 1-3 5 1 15 2 BrushRPM.1 umparticle, h=1um.1 umparticle, h=5um.1 umparticle, h=1um.1 umparticle, h=5um.1 umparticle, h=1um RM< 1 Removal <Adhesion Particlecannot be removed RM dl 1-1 1-2 1-3.1 umparticle, h=1um.1 umparticle, h=5um.1 umparticle, h=1um.1 umparticle, h=5um.1 umparticle, h=1um 5 1 15 2 BrushRotatingSpeed(RPM) RM<1 Removal < Adhesion Particlecannot be removed

RM ( Non-Contact Brush Cleaning) (without double layer force).5 micron (with double layer force) 1 2 1 2 RM>1 1 1 RM> 1 Removal > Adhesion 1 1 Removal > Adhesion Particlewill beremoved Particlewill beremoved 1 RM= 1 1 RM= 1 RM 1-1 RM< 1 Removal < Adhesion Particle cannot be removed RM dl 1-1 RM<1 Removal < Adhesion Particlecannot be removed 1-2.5 umparticle, h=1um.5 umparticle, h=5um.5 umparticle, h=1um.5 umparticle, h=5um.5 umparticle, h=1um 1-2.5 umparticle, h=1um.5 umparticle, h=5um.5 umparticle, h=1um.5 umparticle, h=5um.5 umparticle, h=1um 1-3 5 1 15 2 Brush RPM 1-3 5 1 15 2 BrushRotatingSpeed(RPM)

Contact Brush Cleaning Dynamics Brush F el M r R δ ' a O F a brush brush brush

Contact Area and Adhesion Force during the Particle Engulfment 1 3 1 2 1 1.1 um particle, Contact Area to Wafer.1 um particle, Contact Area to Brush.5 um particle, Contact Area to Wafer.5 um particle, Contact Area to Brush 1 um particle, Contact Area to Wafer 1 um particle, Contact Area to Brush 1-3 1-4 1-5.1 um particle, Adhesion Force to Wafer (N).1 um particle, Adhesion Force to Brush (N).5 um particle, Adhesion Force to Wafer (N).5 um particle, Adhesion Force to Brush (N) 1 um particle, Adhesion Force to Wafer (N) 1 um particle, Adhesion Force to Brush (N) Contact Area ( um 2 ) 1 1-1 1-2 1-3 Adhesion Force ( N ) 1-6 1-7 1-8 1-4 1-9 1-5 -.1 -.5.5.1 Angle 1-1 -.1 -.5.5.1 Angle

RM in Contact Brush Cleaning without double layer force with double layer force 1 18.1 um particle 1 18.1 um particle.5 um particle.5 um particle 1umparticle 1umparticle 1 17 1 17 RM 1 16 RM dl 1 16 1 15 1 15 1 14 5 1 15 2 1 14 5 1 15 2 Brush RPM Brush RPM

Adhesion Force Measurements Fabricated Colloidal Probe Force-Distance Curve by AFM 5 m 2 m Polystyrene particle (2 m) Possible to attach.1 um particles

Measured Interaction Forces Using AFM Force-Distance Curve Measurements Interaction force (nn) -2. -1.5-1. -.5 ph 11 slurry ph 7 slurry ph 3 slurry. SILK TEOS Cu TaN SiLK TM TEOS Cu TaN 3DUNHWDO-(OHFWURFKHP6RF SS** Wafers

Particle Contamination After Polishing ph 11 ph 7 ph 3 Cu TaN TEOS SiLK

Zeta Potential of Alumina Particles IEP of original alumina was around 9.1 When organic acids were added, IEPs of alumina were changed to acidic ph 4 Zeta Potential (mv) 3 2 1-1 -2-3 -4-5 gamma-alumina Citric acid added Succinic acid added Oxalic acid added Original IEP 5HFLSHV 1RDGGLWLRQ &LWULF$FLG 2[DOLF$FLG 6XFFLQLF $FLG =HWDSRWHQWLDO P9 P9 P9 P9 2 4 6 8 1 12 ph

Adhesion Force of Silica in Cleaning Solutions The least adhesion force of silica is measured in the citric acid and BTA with NH 4 OH The largest adhesion force is measured in the citric acid and BTA with TMAH The ph and its adjustor selection are very important in cleaning solution design Adhesion Force ( log N ) -8. -8.5-9. -9.5-1. -1.5-11. Adhesion Force D.I Citric acid+bta Citric acid+bta+nh4oh Citric acid+bta+tmah (ph2) (ph6) (ph6) 3DUNHWDO-(OHFWURFKHP6RFSS**

Adhesion Forces of Alumina on Cu in Slurries 6.E-9 Adhesion Force ( N ) 5.E-9 4.E-9 3.E-9 2.E-9 DI Water Citric Acid+NH 4 OH Cu Wafer - Particle Adhesion 1.E-9 Silica Alumina Silica Alumina 3DUNHWDO566SULQJHHWLQJ6DQ)UDQFLVFR

Particle Contamination After Copper CMP Citric acid added slurry (alumina) showed the cleanest surface after Cu polishing (a) No Addition (b) Citric Acid (c) Oxalic Acid 3DUNHWDO-SQ-$SSO3K\V9ROSS (d) Succinic Acid

Electrical Double Layer Force 3nm PSL particles on Thermal Oxide Wafer Comparison of various expressions with measurement- 3 nm PSL particle on thermal oxide wafer Removal Efficiency (%) 1 8 6 4 2 1E-18 1E-17 1E-16 1E-15 Applied Removal Moment (N m) Zeta Potential (mv) 1 6 2-2 -6-1 Si3N4 Silica PSL 2 4 6 8 1 12 ph Force (N ) 1.E-8 8.E-9 6.E-9 4.E-9 2.E-9.E+ 1.8E-8 2.1E-8 2.5E-8 ph=7.8-ph=5 ph=9.3-ph=5 ph=1.2-ph=5 ph=11-ph=5 1 3nm PSL Particles on Si 3 N 4 Wafer -2.E-9 LSA HHF-potential HHF-charge Compression Measurement Removal Efficiency (%) 8 6 4 2 ph=5 ph=7.8 ph=9.3 ph=1. 2 ph=11 Electrical double layer force plays an important role in particle adhesion and removal Some approximate expressions for double layer force which are used in colloid science are not suitable for particle adhesion. 1E-18 1E-17 1E-16 1E-15 Applied Removal Moment (N m) Compression approximation best describes the double layer force for particle adhesion.

Removal Efficiency (%) Effect of Deposition method on Particle Adhesion Comparison of Different Deposition Methods - PSL particles on Si3N4 surface 1 8 6 4 2 1E-13 1E-12 1E-11 1E-1 Applied Removal Moment (N m) Dry particles are directly deposited on substrate Particles are suspended in IPA and then deposited on substrate Deposition method has a huge effect on particle adhesion and removal. Capillary force caused by the liquid between particle and substrate gives rise to more deformation compared to dry case. The liquid with higher surface tension (such as water) gives rise to higher capillary force (compared to IPA). Particles are suspended in DI water and then deposited on substrate

Effect of deposition method Removal Efficiency (%) 1 8 6 4 2 Comparison between Different Deposition Methods at Aging Time of.1 hour Deposition method 1 on Thermal Oxide Deposition method 2 on Thermal Oxide Deposition method 3 on Thermal Oxide Deposition method 2 on Si3N4 Deposition method 3 on Si3N4 Deposition method 1 on Si3N4 1E-14 1E-13 1E-12 1E-11 1E-1 Applied Removal Moment (N m)

Effect of Cleaning Solution: Aqueous and non-aqueous solution 5 micron PSL particle on thermal oxide Wafer 12 Deposition cleaning standard solution deviation Removal Efficiency (% 1 8 6 4 2 in IPA by DI in IPA by IPA in DI by DI in DI by IPA 2. 28.2.4 1.5 1E-15 1E-14 1E-13 1E-12 1E-11 Applied Removal Moment (N m)

Effect of Cleaning Solution Aqueous and non-aqueous solution 5 micron PSL particle on silicon nitride wafer 12 Deposition cleaning standard solution deviation Removal Efficiency (% 1 8 6 4 in IPA by DI in IPA by IPA in DI by DI in DI by IPA 2.2 26.4 8.6 2 1E-15 1E-14 1E-13 1E-12 1E-11 Applied Removal Moment (N m)

Effect of Cleaning Solution Aqueous and non-aqueous solution.3 micron PSL particle on thermal oxide wafer 12 Deposition cleaning standard solution deviation Removal Efficiency (%) 1 8 6 4 2 in IPA by DI in IPA by IPA In DI by DI in DI by IPA 8.5 14. 2. 18. 1E-19 1E-18 1E-17 1E-16 1E-15 Applied Removal Moment (N m)

Effect of Cleaning Solution Aqueous and non-aqueous solution.3 micron PSL particle on silicon nitride wafer 12 Deposition cleaning standard solution deviation Removal Efficiency (%) 1 8 6 4 2 in IPA by DI in IPA by IPA in DI by DI in DI by IPA 13.5 22.6 2. 29.5 1E-19 1E-18 1E-17 1E-16 1E-15 Applied Removal Moment (N m)

Effect of Cleaning Solution Aqueous and non-aqueous solution.5 micron silica particle on thermal oxide wafer 1 9 Deposition cleaning standard solution deviation Removal Efficiency (%) 8 7 6 5 4 3 2 in IPA by DI in IPA by IPA in DI by DI in DI by IPA 5. 3.2 1.5 2.3 1 1E-17 1E-16 1E-15 1E-14 Applied Removal Moment (N m)

Effect of Cleaning Solution Aqueous and non-aqueous solution.5 micron silica particle on silicon nitride wafer Removal Efficiency (%) 1 9 8 7 6 5 4 3 2 1 Deposition cleaning standard solution deviation in IPA by DI in IPA by IPA in DI by DI in DI by IPA 5.2 6.6 2.3 2.6 1E-17 1E-16 1E-15 1E-14 Applied Removal Moment (N m)

Accurate Calculation of Electrical Double Layer Force Zeta Potential (mv) 1 6 2-2 -6-1 Si3N4 Silica PSL 3 6 9 12 ph

Accurate Calculation of Electrical Double Layer Force Comparison of various approximate expressions with measurement - 3 nm PSL on silicon nitride wafer 1.E-7 ph=1.2-ph-9.3 ph=11-ph=9.3 Force Difference (N) 1.E-8 1.E-9 1.E-1 1.E-11 LSA HHF-charge Compression Measurement The measurement results show that compression approximation under constant charge boundary condition accurately describes the electrical double layer force, while the Hogg- Healy-Fuerstenau (HHF) expression under constant potential boundary condition which is widely used in colloidal science is inaccurate in magnitude as well as the sign of the force.

Conclusions The removal of nanoscale particles (63 nm) using megasonic and laser shock cleaning is investigated experimentally in this study. The laser cleaning results for the EUV 11 nm and 4 nm Si_cap ML wafers show that the measured removal efficiency obtained for both substrates were in the high nineties. Heating due to LSC seems to have minimal effect on possible substrate damage. Damage will only occur when the plasma is very close the surface. The moment ratio model is consistent with the experimental results for nano-scale particles The simulation shows that 63nm particle are detached and redeposited many times before final removal. Complete removal of 63nm particles was achieved using 76 KHz and higher frequencies, but 1.2MHz showed 4 times faster removal compared to 76K. Complete removal of PSL particles from 5 micron deep trenches was achieved.

Advantage The highest Concentration of Microcontamination Experts and Facility at any US University Access to Students and Postdocs in Microcontamination Reduced University Overhead Less than 15% NSF Supports the Center s Administration www.cmc.neu.edu