Evaluation at the intermediate focus for EUV Light Source

Similar documents
Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

EUV lithography and Source Technology

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

EUV Reflectivity measurements on Acktar Sample Magic Black

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

Laser heating of noble gas droplet sprays: EUV source efficiency considerations

X-Rays From Laser Plasmas

CHARACTERISTICS OF ION EMISSION FROM CO 2 /Nd:YAG LPP WITH TIN TARGET

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

Optimization of laser-produced plasma light sources for EUV lithography

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM

Comparison of EUV spectral and ion emission features from laserproduced

EXTREME ULTRAVIOLET (EUV) lithography (EUVL)

Status of EUV Sources for Mask Metrology

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

High Brightness EUV Light Source for Actinic Inspection & Microscopy

Efficient EUV source by use of a micro-target containing tin nanoparticles

EXTREME ULTRAVIOLET AND SOFT X-RAY LASERS

EUV spectroscopy of mass-limited Sn-doped laser microplasmas

EUV-Technology with Discharge EUV-Lamp"

SUPPLEMENTARY INFORMATION

Emission characteristics of debris from CO 2 and Nd:YAG laser-produced tin plasmas for extreme ultraviolet lithography light source

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Progress in LPP EUV Source Development by Japan MEXT Project

Measurement of EUV scattering from Mo/Si multilayer mirrors

Waseda University. Design of High Brightness Laser-Compton Light Source for EUV Lithography Research in Shorter Wavelength Region

Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Institute for Laser Technology

Measurement of CO 2 laser absorption by thin plasma as a 13.5 nm EUV light source!

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Laser Production of Extreme Ultraviolet Light Source for the Next Generation Lithography Application )

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Consequences of high-frequency operation on EUV source efficiency

Recent improvement of the LHD Thomson scattering system

Set-up for ultrafast time-resolved x-ray diffraction using a femtosecond laser-plasma kev x-ray-source

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Construction of an extreme ultraviolet polarimeter based on highorder harmonic generation

1. Introduction. 2. New approaches

Photolithography II ( Part 1 )

Behavior and Energy States of Photogenerated Charge Carriers

2001 Spectrometers. Instrument Machinery. Movies from this presentation can be access at

High intensity EUV and soft X-ray X plasma sources modelling

Experimental and numerical investigations on the density profile of CO 2 laser-produced Sn plasma for an EUVL source

Padraig Dunne, UCD School of Physics Dublin, Ireland.

LIBSlab ANALYZERS ANALYZERS

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Problem Solving. radians. 180 radians Stars & Elementary Astrophysics: Introduction Press F1 for Help 41. f s. picture. equation.

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency.

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Opacity effect on extreme ultraviolet radiation from laser-produced tin plasmas

Pulsed-power based bright EUV light source for metrology

Resonantly Pumped Er:YAG and Er:YAP Lasers

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Development of a High-Speed VUV Camera System for 2-Dimensional Imaging of Edge Turbulent Structure in the LHD

Update of EUV Source Development Status for HVM Lithography

Lecture 0. NC State University

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

High Brightness EUV Light Source System Development for Actinic Mask Metrology

BEUV nanolithography: 6.7 or 11 nm?

A short pulsed laser cleaning system for EUVL tool

Extension of Wavelength Range in Absolute Intensity Calibration of Space-Resolved EUV Spectrometer for LHD Diagnostics )

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Traceable Encircled Flux measurements for multimode fibre components and systems

Angular Distribution Measurements of Sputtered Particles at UCSD

OES - Optical Emission Spectrometer 2000

Richard Miles and Arthur Dogariu. Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 08540, USA

Appendix A Detector Calibration

Eximer Lasers UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide When excited/ionized atoms attract

EUV sources using Xe and Sn discharge plasmas

Plasma Radiation. Ø Free electrons Blackbody emission Bremsstrahlung

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Measuring Laser Diode Optical Power with an Integrating Sphere

Application of atomic data to quantitative analysis of tungsten spectra on EAST tokamak

Chemistry 524--Final Exam--Keiderling Dec. 12, pm SES

EUV lithography industrialization for HVM

Spectral control of emissions from Sn-doped targets for EUV lithography

Measurements of rotational transform due to noninductive toroidal current using motional Stark effect spectroscopy in the Large Helical Device

THE MEASUREMENT OF SOLAR ULTRAVIOLET SPECTRAL IRRADIANCE PROBLEMS & SOLUTIONS

SEMATECH 157nm Technical Review

Modern optics Lasers

Soft X - Ray Optics: Fundamentals and Applications

Evaluation of Mo/Si multilayer for EUVL mask blank

LASER TRAPPING MICRO-PROBE FOR NANO-CMM

Excimer Lasers Currently best UV laser sources Consist two atom types which repel each other eg nobel gas and halide or oxide which normally do not

Development of a Compact XAFS Measurement Chamber under Atmospheric Pressure in the Soft X-ray Region

SECOND HARMONIC GENERATION IN PERIODICALLY POLED NONLINEAR CRYSTALS WITH 1064 nm GAUSSIAN LASER PULSES

Astr 2310 Thurs. March 3, 2016 Today s Topics

Construction of a 100-TW laser and its applications in EUV laser, wakefield accelerator, and nonlinear optics

Laser Excitation Dynamics of Argon Metastables Generated in Atmospheric Pressure Flows by Microwave Frequency Microplasma Arrays

Transcription:

Evaluation at the intermediate focus for EUV Light Source Takashi Suganuma, Georg Soumagne, Masato Moriya, Tamotsu Abe, Akira Sumitani, Akira Endo Extreme Ultraviolet Lithography System Development Association (EUVA) Research & Development Center, Hiratsuka 1200 Manda, Hiratsuka-shi, Kanagawa, 254-8567, Japan ABSTRACT We are developing a CO 2 laser driven Sn plasma light source for HVM EUVL. This source enables cost-effective highconversion efficiency (CE >4%) and EUV power scaling. To evaluate light source characteristics we developed a metrology tool for the EUV and for the out of band (DUV, IR) wavelength region. The EUV plasma light source emits radiation ranging from the EUV to the IR. To evaluate a particular wavelength region, spectral purity filters are used to select the region of interest. For the in-band EUV emission the power, the energy stability and the radiation profile are measured. The power is measured with an attenuating filter and a powermeter. The energy stability is measured with a filterd X-ray diode. The radiation profile is measured with a phosphor plate and a VIS-CCD camera. For the out of band emission, the radiated power is measured with an attenuating filter and a powermeter. The out of band region includes the CO 2 laser which is partly scattered by the plasma and reflected towards the IF and needs therefore to be included into the measurement. Keywords: Extreme Ultraviolet Lithography, Laser Produced Plasma, Evaluation of EUV, Intermediate Focus 1. INTRODUCTION Extreme ultraviolet lithography (EUVL) at 13.5 nm is a major candidate of next generation lithography (NGL) planned to manufacture IC devices below the 32 nm node. The required EUV output power of 115W for a high volume manufacturing (HVM) EUV lithography tool is very high in order to meet industry s required throughput of more than 100 wafer / hour. Table.1 1 lists the most critical requirements for a HVM EUVL source. Table 1. Joint Requirements for EUV Light Source Source Characteristics Requirements Wavelength [nm] 13.5 nm EUV power [in-band] 115 180 W Repetition Frequency > 7 10 khz (no upper limit) Etendue of Source output Max 3.3 mm 2 sr Spectral purity ( compared with 13.5 nm in-band energy ) 130 400 [nm] (DUV/UV) < 1 % at wafer (design dependent) > 400 [nm] (IR/VIS) < 10 100 % at wafer (design dependent) *t.suganuma@euva.or.jp; phone 81 463 35-8823; fax 81 463 35-9352; http://www.euva.or.jp

The etendue of the source output is given by the plasma size, i.e. in-band emission area, multiplied with the collection solid angle of the collector mirror and is an important parameter of the exposure tool. A smaller value is preferred for higher efficiency. The spectral purity at 13.5nm at the IF is decided by the plasma emission and the reflectivity of the collector mirror. Except for 13.5nm (in-band), all out-of-band radiation results in thermal loading of the exposure tool. Therefore it is necessary to evaluate the out-of-band power, i.e. the ultraviolet, visible, infrared contributions at the IF point. In this paper the characteristics of our high power EUV light source system and the evaluation of EUV power, energy stability, radiation profile and spectrum at the intermediate focus are described. 2.1 System configuration 2. HIGH POWER EUV LIGHT SOURCE SYSTEM A schematic of the high power EUV system is show in Fig.1. Recent theoretical 2 and experimental 3 data demonstrate the advantage of the combination of a pulsed CO 2 laser with a Sn target to achieve a high conversion efficiency from laser pulse energy to EUV in-band energy. The EUV system included a high power CO 2 MOPA (Master Oscillator Power Amplifier) laser, a solid rotating Sn disk target and a collector mirror to evaluate the EUV output performance at the IF point, i.e. the second focus of the ellipsoidal mirror with the plasma being placed at the first focus. The CO 2 laser beam was guided into the EUV generation chamber. The laser output power was about 6 kw at the entrance window of the chamber. The laser beam was focused onto a solid rotating Sn disk that was preliminary used as a target supply. The laser incidence angle to the normal of the disk surface was 45 degree. The EUV radiation was collected to the IF point by the ellipsoidal collector mirror. The EUV pulse energy, EUV in-band image and EUV spectrum were measured. The EUV in-band image size is an important parameter as a lithography light source regarding the etendue limit requirement. Collector mirror 1sr (=3sr x 1/3) IF (intermediate focus) Oscillator Pre-Amp Main Amp Rotating Sn plate target Amp laser EUV chamber Fig.1 High power EUV system configuration

2.2 High power CO 2 laser We developed a MOPA high power 6kW CO 2 laser. A CO 2 laser power of several kw with a pulse width of 15~30 ns is very difficult to realize with a single amplification stage. Therefore we developed a multi stage amplifier system. Fig. 1 shows the laser system configuration. The system consists of a short pulse high repetition rate oscillator and a multi stage amplifier. A short pulse oscillator is the seeder for the amplifiers. The oscillator was a 15~30 ns, single P(20) line, RF pumped waveguide CO 2 laser with 60 W output at a repetition rate of 100 khz. Commercial 5 kw and 15 kw CW CO 2 lasers were installed at our laboratory as amplifiers. Each unit is a 13.56 MHz RF-excited fast axial flow laser. Lasers were set-up as amplifiers by replacing all cavity mirrors with ZnSe windows. 2.3 Collector mirror A schematic of the EUV collector mirror is shown in Fig.1. The mirror is a 1/3 section of a full circular mirror. The mirror s optical surface is ellipsoidal and the magnification is 4.5. Radial and angular variations of the reflectivity and the center wavelength are shown in Fig. 2-b) and Fig. 2-c), respectively. The reflectivity is above 60 % and the center wavelength 13.5 nm 0.1 nm. We estimated the EUV power at the IF point using this reflectivity data and the collection solid angle and compared the obtained values with the measurements performed at IF. Axis2 Axis1 Axis3 40deg 40deg 145mm 0mm a) mirror geometry and axis directions of mirror measurements Refrectivity [%] 70.0 C1_axis2 68.0 C1_axis3 C1_axis1 66.0 64.0 62.0 60.0 58.0 56.0 54.0 52.0 50.0 0.0 20.0 40.0 60.0 80.0 100.0 120.0 140.0 Distance (from center) [mm] Center wavelength [nm] 13.70 C1_axis2 C1_axis3 13.65 C1_axis1 13.60 13.55 13.50 13.45 13.40 13.35 13.30 0.0 20.0 40.0 60.0 80.0 100.0 120.0 140.0 Distance (from center position) [mm] b) Mirror reflectivity c) Mirror center wavelength Fig. 2: EUV mirror reflectivity and center wavelength; measured by PTB (Germany).

3.1 EUV energy 3. EVALUATION AT INTERMIDIATE FOCUS Fig.3 shows the EUV energy measurement configuration at intermediate focus. This system consists of a ND filter and a EUV detector. The light collected by the C1 mirror is too intense and will damage the detector and the spectral purity filter. Therefore a ND filter was inserted between the C1 mirror and the detector. The detector (IRD, SXUV-100 based) is coated (Mo/Si/SiC) to select the EUV region only. The responsivity in the EUV region was calibrated by NIST and Fig.4 shows the responsivity of the detector between 10 nm to 16 nm. CO 2 laser Sn disk ND filter Coated Diode SXUV100 Mo/Si/SiC C1 mirror IF Fig.3 EUV energy measurement configuration at intermediate focus Responsivity [ma/w] 3 2.5 2 1.5 1 0.5 SXUV100 Mo/Si/SiC 0 10 12 14 16 wavelength [nm] Fig.4 Responsivity of the detector in the EUV region The measured average EUV output energy and power collected by a 1-sr mirror at 100 khz operation were 0.16 mj and 16 W, respectively. The estimated EUV power is 60 W, assuming a 4-sr collector mirror. The measured power corresponded to the estimated power based on conversion efficiency, i.e. laser pulse energy to EUV in-band energy, C1 mirror reflectivity and the collection solid angle values. Fig.5 shows the in-band EUV energy stability measured at the IF point at 100 khz operation. The EUV output energy stability was 3.8% (3 sigma, 500 pulses). This energy stability will be improved by improving the irradiating laser pulse energy stability.

EUV energy@if [mj] 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 0 100 200 300 400 500 600 700 800 Number of pulses Fig. 5. EUV pulse energy stability at intermediate focus. 3.2 EUV profile Fig.7 shows the EUV profile measurement configuration at intermediate focus. This system consists of ND filter, Zr filter, a fluorescence plate and a visible CCD camera. The Zr filter is a spectral purity filter that only transmits the inband EUV. The fluorescence plate, irradiated with EUV light, emits visible light that is detected with the CCD camera which is placed outside the vacuum chamber. We verified that the fluorescence plate emission under UV irradiation is negligible by adding an additional CaF 2 filter that cuts the EUV wavelength region. ND filter CaF 2 Fluorescence plate CO 2 laser Sn Disk VISible CCD camera Zr C1 mirror IF Fig.7 EUV profile measurement configuration at intermediate focus A measured EUV in-band image is shown in Fig. 8. A symmetric profile was obtained. The horizontal and vertical image sizes were 3.6 mm and 3.3 mm, respectively, at 1/e 2 of the peak intensity. The etendue of the source was 1.9 mm 2 sr calculated from the source size at 1/e 2 of the peak intensity and assuming a 4 sr collector mirror. This value is well below the etendue limit requirement of the exposure tool developers listed in Table.1.

2 mm 3.3 EUV spectrum Fig. 8. EUV profile at intermediate focus Fig.9 shows the EUV spectrum measurement configuration at intermediate focus. The system consists of a ND filter and a flat field grazing incidence spectrometer using a variable-line-spacing grating (1200 grooves/mm). The spectrometer includes a toroidal mirror that images the IF point onto the entrance slit of the spectrometer. The resolution of the spectrometer is below 10 pm between 10 nm to 20 nm. ND filter CO 2 laser Sn Disk Grazing-incidence Spectrometer C1 mirror IF Fig.9 EUV spectrum measurement configuration at intermediate focus Fig.10 shows the CO 2 laser driven Sn plasma spectrum, the reflectivity of a typical Mo/Si mirror and the IF spectrum. The Sn plasma spectrum has a peak at 13.5 nm. The reflectivity of a typical Mo/Si mirror has its peak at 13.5 nm and a spectral bandwidth of 4 % at 13.5 nm. The IF spectrum is given by multiplying the Sn plasma spectrum with the reflectivity of the C1 mirror.

Intensity [a.u.] 1.2 1 0.8 0.6 0.4 0.2 IF spectrum at plasma Mo/Si mirror 0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0 0 11 12 13 14 15 16 wavelength [nm] Fig.10. EUV spectrum at intermediate focus. 3.4 OoB radiation We reported earlier the out-of-band radiation of a Xe plasma 4. The out-of-band energy distribution was measured by using colored glass filters and a photodiode (IRD, AXUV 100G). This Si based photodiode is sensitive up to 1 m wavelength. Therefore this detector does not monitor the infrared region, especially the 10.6 m of the CO 2 laser beam scattered from the CO 2 laser driven Sn plasma. But it is important that the infrared region within 10.6 m is monitored due to thermal load issues of the lithographic exposure tool. We therefore prepared a thermopile type power meter that has a flat response in the ultra-violet, visible and infrared region and also covers the 10.6 m of the CO 2 laser beam. In the near future we will measure the out-of-band radiation with this equipment at IF point for a CO 2 laser driven Sn plasma light source. 4. CONCLUSION We developed a high power EUV light source system and demonstrated the performance of our evaluation system at the intermediate focus. We measured the energy stability, the intensity profile and the emission spectrum at the intermediate focus for the in-band EUV. The EUV energy stability was 3.8% (3 sigma, 500 pulses). The EUV profile was symmetric. The horizontal and vertical image sizes were 3.6 mm and 3.3 mm, respectively, at 1/e 2 of the peak intensity. The etendue of the source was 1.9 mm 2 sr calculated from the source size at 1/e 2 of the peak intensity and assuming a 4 sr collector mirror. 5. ACKNOWLEDGEMENTS This work was performed under the management of the Extreme Ultraviolet Lithography System Development Association (EUVA), a research and development program of the New Energy and Industrial Technology Development Organization (NEDO), Japan. REFERENCES [1] A. Miyake, H. Kanazawa, V. Banine and K.Suzuki : Joint Requirements, EUV Source Workshop, Barcelona, SPAIN, Oct. 19 (2006)

[2] [3] [4] K. Nishihara, A. Sasaki, A. Sunahara, and T. Nishikawa, EUV Sources for Lithography, Chap. 11, ed. V. Bakshi, SPIE, Bellingham, (2005). H. Tanaka, A. Matsumoto, K. Akinaga, A. Takahashi, and T. Okada, Comparative study on emission characteristics of extreme ultraviolet radiation from CO 2 and Nd:YAG laser-produced tin plasmas Appl. Phys. Lett. 87, 041503 (2005) H. Mizoguchi, A. Endo, T. Ariga, T. Miura, H. Hoshino, Y. Ueno, M. Nakano, H. Komori, A. Sumitani, T. Abe, T. Suganuma, G. Soumagne, H. Someya, Y. Takabayashi, K. Toyada, Development of CO 2 Laser Produced Xe Plasma EUV Light Source for Microlithography, Proc. SPIE 6151, 61510S (2006)