for Heterogeneous III-V/Si Photonics Integration

Size: px
Start display at page:

Download "for Heterogeneous III-V/Si Photonics Integration"

Transcription

1 Study of Ultrathin SiO 2 Interlayer Wafer Bonding for Heterogeneous III-V/Si Photonics Integration Chee-Wei Lee*,Ying Shun Liang, Doris Keh-Ting Ng, Yi Yang, Yu Yu Ko Hnin, Qian Wang* Data Storage Institute, A*STAR (Agency for Science, Technology and Research), Singapore. ABSTRACT We demonstrated low-temperature bonding of III-V InP-based compound semiconductor on silicon via nano-thin SiO 2 interlayer down to thickness of 20 nm, with ultra-smooth surface for heterogeneous photonic integration. The bonding is achieved with chemical cleaning of the sample surface, followed by oxygen plasma surface activation, which gives high quality bonding between the two different materials. Detail analyses on the bonded samples are carried out. From the photoluminescence and the X-ray diffraction measurements, in which no significant peak shift and peak broadening are observed, we conclude that the crystalline quality of the bonded thin film is preserved. The cross-sectional high resolution transmission electron microscopy shows that bonded III-V-SiO 2 -Si interface has no observable defect. These results reinforce that the proposed bonding offers a promising technology for realizing versatile heterogeneous photonics integration. * lee_chee_wei@dsi.a-star.edu.sg, wang_qian@dsi.a-star.edu.sg

2 1 Introduction Wafer bonding is an important technique for heterogeneous integration of III-V photonic devices on silicon platform [1-4]. III-V material is excellent for active functionality, while silicon provides a low-cost and larger scale solution for passive functionality, and the wafer bonding technique allows us to combine the best of both worlds. As compared to the heteroepitaxial growth technique [5-6], integration through wafer bonding is a versatile technique that can be done either before or after the processing of photonic devices on individual material platform. Besides, it also reduces the threading dislocation and misfits at the bonding interface. In literatures, wafer bonding of III-V on Si is usually done either with an interlayer/interfacial layer, such as oxide [7-8] or nitride [9], or direct bonding [3,10]. Bonding through oxide interlayer has several merits over direct III-V to Si bonding as it eliminates the need for fabricating outgassing structures on the substrate for gas by-product diffusion and absorption during the bonding process [7], which reduces the fabrication process cycle time. The hydrophilic and porous oxide layer provides a medium for outgassing purpose and gives a strong bonding strength [7]. The interlayer also allows us to have flexibility in the choice of host substrate for bonding, regardless of any lattice matching, as long as a high quality oxide interlayer can be deposited. For example, the Si host substrate can be replaced with GaAs, SOI or glass. In terms of process, interlayer bonding eliminates the use of hazardous chemicals such as Piranha and hydrofluoric acid (HF) that are required in the direct III-V to Si bonding process [11]. However, despite the advantages that it offers, the existence of a low refractive index oxide interlayer will affect the up-down light coupling between III-V and silicon dramatically regarding the efficiency and coupling length. [1-2]. Hence, the oxide interlayer should be as thin as possible, but still be able to act as an outgassing medium during the bonding process.

3 In this paper, we report a low-temperature 220 C covalent bonding of InP-based epitaxial multiple quantum wells to silicon substrate through a nano-thin thermal oxide interlayer down to thickness of 20 nm, which is one of the thinnest interlayer proposed for heterogeneous photonics integration [7]. Thinner oxide interlayer was proposed [8] for microelectronics application but their annealing temperature is much higher at ~330 C, which worsens the thermal budget and may cause more dislocations in the bonded materials. Our SiO 2 interlayer is grown thermally on the silicon substrate only, but not on the III-V substrate, and this avoids the challenge to obtain high quality SiO 2 film on III-V substrate. Our bonding is also done at relatively low temperature, which reduces thermal budget and any implication on material or device pre-exist on the bonding substrates. The close proximity between the two substrates also allows more effective heat conduction as compared to bonding with thicker interlayer. In the next section, the experiment details of bonding process are illustrated. This is followed by the detailed analyses of the bonded samples, where we can see that the bonding does not affect the original material quality. The top surface quality of the thermal oxide and the III-V as grown layer are obtained via Atomic Force Microscopy (AFM) while X-ray Diffraction (XRD) and room temperature photoluminescence (PL) characterizations are used to inspect the material quality before (as-grown) and after bonding. Cross-sectional high resolution Transmission Electron Microscopy (HR-TEM) is then used to investigate the bonding interfaces. Finally, a conclusion is drawn, where we conclude that the bonding through nano-thin SiO 2 offers a better alternative to direct bonding. These are proven by XRD, PL and HR-TEM characterizations that show the bonded III-V film still preserved the original crystal quality of the as-grown sample. All these are possible through interlayer bonding process, which eliminates the use of hazardous chemicals and reduces the process steps for creating outgassing channels.

4 2 Experimental Our III-V based prime-grade epitaxial wafer is obtained commercially (IQE Inc.). The latticematched epitaxy layers are grown by metal-organic chemical vapor deposition (MOCVD) on an InP substrate. The active region consists of InGaAsP-based multiple quantum wells (MQW), with well and barrier each having thickness of 10 nm, and altogether they made up a total stack thickness of 350 nm. The active region is sandwiched in between an InP top cladding with thickness of 1000 nm and an InP substrate. Our silicon is prime-grade silicon wafer obtained commercially (Siegert Wafer GmbH). The Si wafer goes through dry thermal oxidation with First Nano CVD Horizontal Furnace for 16.5 minutes at 1000 C with an O 2 gas inflow of 2.5 SLPM. The oxidized silicon wafer is diced into pieces with 20 20mm 2 and the III-V wafer is cleaved into smaller die size of mm 2 for the die-bonding test. The bonding process starts with cleaning the two material substrates with organic solvents in ultrasonic bath. The solvents used are acetone, followed by isopropyl alcohol (IPA) and rinse with deionized (DI) water. The Si sample then goes through rigorous cleaning with diluted RCA solution of NH 4 OH : H 2 O 2 : H 2 O = 1 : 2 : 10 at 85 C for 20 minutes. Ordinary RCA solution is not used because the strong chemical reaction tends to roughen the oxide surface and makes the bonding difficult. After the diluted RCA clean, the Si sample is rinsed thoroughly with DI water. Meanwhile, the InP substrate is cleaned with NH 4 OH solution for 1 minute and rinsed with DI water. The two pre-bonding surfaces are then subjected to O 2 plasma surface activation via a Trion Sirus reactive ion etcher (RIE) with radiofrequency power of 50 W, O 2 gas flow of 20 sccm and process pressure of 250 mt for 1 minute. The O 2 plasma surface treatment helps to suppress interfacial void formation during the bonding process [12]. Right after the O 2 plasma treatment, the two substrates are then brought to close proximity and initial bonding is started from one edge to another slowly at room temperature to reduce the

5 air trapped between the substrates. The bonded pair is finally loaded on top with a metal block exerting pressure of 0.3 MPa and placed in a vacuum oven to anneal at 220 C for about 10 hours. After the bonding, InP substrate is removed with hydrochloric acid (HCl) : H 2 O = 3 : 1 solution for roughly 1 hour to release the InGaAsP thin film on the Si substrate. The etch solution etches InP but with no measurable etching depth on InGaAsP. The solution is also used for the same purpose in other works [1, 3]. The bonded pair is then rinsed with DI water and blow dried with nitrogen gun to complete the bonding process. Heating on the hotplate at above 100 C might be required before subsequent process to get rid of any water during the rinsing process. 3 Results and Discusssion Before any the wafer bonding is done, the surface quality of the bonding substrates is assessed. The roughness of the two bonding surfaces is measured by using tapping mode AFM. The measurements show that the root-mean-square (RMS) surface roughness of both thermal oxide on Si and InP samples are nm and nm, respectively, over a scanned area of 5 5 μm 2. The three dimensional scan profiles of the two surfaces are shown in Fig. 1. The surface smoothness is good enough for the bonding, with the surface smoothness of thermal oxide almost similar to the original prime-grade Si wafer. The AFM scan of the bonded III-V film is shown in Fig. 1(c) that indicates a RMS roughness of nm. This rougher surface could be due to the high concentration of HCl used in the InP substrate removal, which selectively attacks In and P in the InGaAsP etch stop layer slightly and causes the surface roughness. Nonetheless, the rough surface will not affect the device performance as it is above the top cladding and hence far from the waveguide core. It could even improve the adhesion of metal contact for some active devices.

6 In the wafer bonding process, the rigorous sample cleaning aimed to create hydrophilic surfaces for strong covalent bonding [11]. The thermal oxide as-grown by dry oxidation tends to be less hydrophilic, which is evident in the snapshot of water contact angle measurement in Fig. 2(a). The measurement is done with static sessile drop method. The water contact angle is 57.6 on the surface. After organic cleaning using acetone and IPA, the water contact angle reduces to 44.5, as shown in Fig. 2(b). As the SiO 2 surface is further cleaned with modified RCA solution, the hydrophilicity of the SiO 2 surface increases tremendously, hitting a water contact angle of 7.1 as seen in Fig. 2(c). Subsequent O 2 plasma surface activation does not improve the hydrophilicity of the surface substantially, but only reduces the water contact angle slightly to 6.4, as indicated in Fig. 2(d). On the other hand, the water contact angle of InP epitaxy substrate after NH 4 OH solution clean and O 2 plasma activation is 5.9. These highly hydrophilic surfaces have helped in the bonding of dissimilar materials, and proven that the rigorous cleaning and surface activation processes are instrumental in the bonding. After the bonding is done, characteristic photographs of the bonded pairs are shown in Fig. 3. The photographs show the bonding of III-V substrate onto a silicon substrate with 20 nm of SiO 2 interlayer. In Fig. 3(a), we show the bonded pair with the III-V substrate facing down to demonstrate the bonding force in action against the gravity. In Fig. 3(b), we show the bonded pair after the InP substrate is removed by HCl. Both photographs do not show significant defect on the bonded film. The defect at the edge of the III-V film as seen after InP substrate removal is caused by the tweezers for handling the sample during bonding process. In Fig. 3(c), we show a bonded pair for III-V substrate bonded on even thinner SiO 2 on silicon-on-insulator (SOI) substrate, with the InP substrate removed. We found that for such thickness of SiO 2, it is not able to absorb or dissipate the gas by-product from the

7 bonding process. Hence, significant amount of air voids are visible at region with no outgassing channels. In contrast, for region with outgassing channels etched on Si, the samples are well-bonded and there is no observable void. The outgassing channels are deliberately exposed for better illustration. Nevertheless, the need for outgassing channels diminishes the advantage of reducing fabrication process steps for interlayer bonding, and hence, it is not suitable in our context. It is also interesting to see whether the bonding can be done on full wafer scale. In Fig. 3(d), we show the photograph of a bonded 2-inch InP-based epi-wafer, with the InP substrate removed, on a 4-inch silicon wafer with 20 nm of thermal oxide. The photograph shows some defect on the bonded film. The defect at the edge of the III-V wafer film is caused by the tweezers for handling the sample during bonding process. The air-voids seen at the III-V film are probably caused by the large area bonding, which makes it harder for the air in between to escape during the initial bonding process. This problem could be overcome if commercial wafer bonder is used, where the bonding could be done under vacuum environment. A tensile pull test is conducted on another bonded pair prior to the InP substrate removal using INSTRON 5543 Standard system. The bonding fails at around 2.4 MPa, and this is much higher than the previous reported result [9]. In microscopic view of the bonding quality, we conduct cross-sectional HR-TEM on the bonding interface. Fig. 4 shows the cross-sectional TEM scan for the layers of III-V epitaxial sample that are bonded onto the SiO 2 -Si substrate. We can clearly see the MQW structures sandwiched by the top and bottom claddings. The two sides of the MQW consist of InGaAsP separate confinement heterostructures (SCH) with thickness of 25 nm. The AlInAs and the InGaAsP layers within the claddings are etch-stop layers. The topmost InGaAsP is

8 the highly-doped contact layer for electrically pumped devices. All these layers are for other work in the future. Figs. 5(a) and 5(b) presents TEM image of bonding interfaces between the III-V thin film and nano-thin SiO 2 interlayer on Si. We can observe sharp InP-SiO 2 -Si bonded interface, and InP and SiO 2 are bonded at atomic level. No observable structural defects and neither voids nor bubbles are being noticed at the interface. The grey dots in the SiO 2 layer in Fig. 5(b) are caused by the InP re-deposition during focus ion beam (FIB) milling for TEM sample preparation. The slightly thicker thermal oxide (~22nm) seen could be due to the inter-diffusion of SiO 2 and InP that are the in contact during the annealing step in the bonding process. The inter-diffusion is confirmed by the energy-dispersive X-ray spectroscopy (EDX) scan, as shown in Fig. 5(c), that reveals the existence of Si and O in InP and vice versa. In order to ensure that the transferred III-V thin film still preserved in original optical quality, the bonded III-V thin film is assessed by room temperature PL. Fig. 6 shows the room temperature PL spectra of the as-grown and the bonded III-V epitaxy layers, and both are from the same piece of wafer. From the measurement, we observe that there is no obvious peak shift and change of full-width-half-maximum (FWHM) for the bonded sample as compared with the as grown sample. These results indicate the robustness and feasibility of the thin film transferred and its readiness for subsequent device fabrication. The high quality of the transferred thin film is also confirmed by the high resolution XRD θ 2θ scans, as presented in Fig. 7. There is no peak shift or broadening for the transferred film as compared to the as-grown epitaxy sample. The almost unchanged XRD satellite order and FWHM of InP (004) peak indicate that the structural integrity of MQW is preserved and no significant defects are generated during the bonding process. This agrees with our TEM measurement as there is no defect propagating toward the MQW during the

9 bonding process. The silicon peak only exists in the bonded III-V film due to the existence of silicon substrate in the bonded pair. Conclusion We successfully demonstrated a low temperature interlayer wafer bonding process to transfer InP-based epitaxial film to Si substrate through nano-thin SiO 2 interlayer, which is a key enabling technology in heterogeneous photonic integration. The tensile pull test showed significant improvement in breaking pressure. The crystalline quality of the bonded thin film is preserved as compared to the as-grown film, and this is proven and examined by PL and HR-XRD characterizations. The HR-TEM characterization showed an atomically bonded interface with no observable defects generated at the bonding interface. The results proved that the technique is promising and advantageous to be implemented in heterogeneous photonic integration because of its flexibility and process simplicity. Acknowledgements The authors acknowledge the effort of Dr. Yadong WANG and Dr. Jing PU for initiating the work in wafer bonding. This work was supported by Data Storage Institute of Science and Engineering Research Council (SERC) of A*STAR (Agency for Science, Technology and Research), Singapore under grant no. DSI/ The fabrication and characterization were carried out in the A*STAR SERC Nano Fabrication, Processing and Characterization (SnFPC) facilities.

10 References 1. Pu, J.; Lim, K. P.; Ng, D. K. T.; Vivek, K.; Lee, C.-W.; Tang, K.; Kay, A. Y. S.; Loh, T. H.; Wang, Q. Heterogeneously integrated III-V laser on thin SOI with compact optical vertical interconnect access. Opt. Lett. 2015, 40, Keyvaninia, S.; Roelkens, G.; Thourhout, D. V.; Jany, C.; Lamponi, M.; Liepvre, A. L.; Lelarge, F.; Make, D.; Duan, G.-H.; Bordel, D.; Fedeli, J.-M. Demonstration of a heterogeneously integrated III-V/SOI single wavelength tunable laser. Opt. Exp. 2013, 21, Fang, A. W.; Park, H.; Cohen, O.; Jones, R.; Paniccia, M. J.; Bowers, J. E. Electrically pumped hybrid AlGaInAs-silicon evanescent laser, Opt. Exp. 2006, 14, Cao, Y.-L.; Hu, X.-N.; Luo, X.-S.; Song, J.-F.; Cheng, Y.; Li, C.-M.; Liu, C.-Y.; Wang, H.; Tsung-Yang, L.; Lo, G.-Q.; Wang, Q. Hybrid III V/silicon laser with laterally coupled Bragg grating. Opt. Exp. 2015, 23, Fehly, D.; Schlachetzki, A.; Bakin, A. S.; Guttzeit, A.; Wehmann, H. H. Monolithic InGaAsP optoelectronic devices with silicon electronics. IEEE J. Quantum Electron. 2001, 37, Prucnal, S.; Glaser, M.; Lugstein, A.; Bertagnolli, E.; Stöger-Pollach, M.; Zhou, S.; Helm, M.; Reichel, D.; Rebohle, L.; Turek, M. Zuk, J.; Skorupa, W. III V semiconductor nanocrystal formation in silicon nanowires via liquid-phase epitaxy. Nano Research 2014, 7, Liang, D.; Fang, A. W.; Park, H.; Reynolds, T. E.; Warner, K.; Oakley, D. C.; Bowers, J. E. Low-temperature, strong SiO 2 -SiO 2 covalent wafer bonding for III-V compound semiconductors-to-silicon photonic integrated circuits. J. Electron. Mat. 2008, 37, Yokoyama, M.; Iida, R.; Kim, S.; Taoka, N.; Urabe, Y. Takagi, H.; Yasuda, T.; Yamada,

11 H.; Fukuhara, N.; Hata, M.; Sugiyama, M.; Nakano, Y.; Takenaka, M.; Takagi, S. Sub- 10-nm extremely thin body InGaAs-on-insulator MOSFETs on Si wafers with ultrathin Al 2 O 3 buried oxide layers. IEEE Electron Dev. Lett. 2011, 32, Wang, Y.; Ng, D. K.-T.; Wang, Q.; Pu, J.; Liu, C.; Ho, S.-T. Low temperature direct bonding of InP and Si 3 N 4 -coated silicon wafers for photonic device integration. J. Electrochem. Soc. 2012, 159, H Pasquariello, D.; Hjort, K. Plasma-assisted InP-to-Si low temperature wafer bonding. IEEE J. Select. Top. Quantum Electron. 2002, 8, Tong, Q.-Y.; Gösele, U. Semiconductor Wafer Bonding: Science and Technology; Wiley Inter-Science: New York, Farrens, S. N.; Dekker, J. R.; Smith, J. K.; Roberds, B. E. Chemical free room temperature wafer to wafer direct bonding. J. Electrochem. Soc. 1995, 142,

12 Figure Captions: Fig. 1. AFM scan of the (a) as grown III-V, (b) thermal oxide on Si, and (c) bonded III-V film over area of 5 5 μm 2 on the samples surfaces. Fig. 2. Snapshot of water contact angle measurement for (a) as grown SiO 2 surface, (b) SiO 2 surface after organic clean, (c) SiO 2 surface after organic clean and modified RCA clean, and (d) SiO 2 surface after organic clean, modified RCA clean and O 2 plasma surface activation. Fig. 3. Photographs of bonded pairs with (a) III-V substrate facing down and InP substrate not removed, (b) after the InP substrate is removed, (c) III-V bonded on SOI substrate through 20 nm SiO 2, and (d) full 2-inch InP epi-substrate bonded to 4-inch Si wafer with 20 nm SiO 2. Some reflections are seen on the substrates due to the mirror-polished surface. Fig. 4. Cross-sectional TEM scan of the different layers of the III-V epitaxial sample that are transferred to the SiO 2 -Si substrate Fig. 5. (a) TEM scan and (b) HR-TEM scans of the bonding interface between the III-V epitaxy sample and the SiO 2 interlayer on Si, and (c) EDX scan along the bonded interface, showing the material composition in the different layers. Fig. 6. Room temperature PL spectra of the as-grown (red) and the bonded III-V (blue) epitaxy samples. Fig. 7. XRD θ 2θ scan of as-grown and bonded epitaxial layers, showing the maintenance of strong InP peak and MQW satellite peaks. The (004) peak of Si and InP substrates are set as reference.

13 Fig. 1 µm 4 3 (a) 1 2 X µm/div Z nm/div µm 4 3 (b) 1 2 X µm/div Z nm/div µm 4 3 (c) 1 2 X µm/div Z nm/div

14 Fig (a) (b) (c) (d)

15 Fig. 3 (a) (b) Outgassing channels Region with air voids (c) Well-bonded region (d)

16 Fig. 4 InGaAsP InP MQW SCH AlInAs

17 Atomic % Fig. 5 InP SiO 2 (a) Si InP SiO 2 (b) Si In P Si O InP SiO 2 Si (c) Position (nm)

18 Fig. 6 Intensity (a.u.) After bonding Before bonding Wavelength (nm)

19 Intensity (a.u.) Fig InP Bonded As grown Si θ (deg)

Si/GaAs heterostructures fabricated by direct wafer bonding

Si/GaAs heterostructures fabricated by direct wafer bonding Mat. Res. Soc. Symp. Proc. Vol. 681E 2001 Materials Research Society Si/GaAs heterostructures fabricated by direct wafer bonding Viorel Dragoi, Marin Alexe, Manfred Reiche, Ionut Radu, Erich Thallner 1,

More information

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Self-study problems and questions Processing and Device Technology, FFF110/FYSD13 Version 2016_01 In addition to the problems discussed at the seminars and at the lectures, you can use this set of problems

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements HW#3 is assigned due Feb. 20 st Mid-term exam Feb 27, 2PM

More information

Supplementary Information

Supplementary Information Supplementary Information Chemical and Bandgap Engineering in Monolayer Hexagonal Boron Nitride Kun Ba 1,, Wei Jiang 1,,Jingxin Cheng 2, Jingxian Bao 1, Ningning Xuan 1,Yangye Sun 1, Bing Liu 1, Aozhen

More information

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD Supplementary figure 1 Graphene Growth and Transfer Graphene PMMA FeCl 3 DI water Copper foil CVD growth Back side etch PMMA coating Copper etch in 0.25M FeCl 3 DI water rinse 1 st transfer DI water 1:10

More information

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped gold substrate. (a) Spin coating of hydrogen silsesquioxane (HSQ) resist onto the silicon substrate with a thickness

More information

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Journal of the Korean Physical Society, Vol. 33, No. 5, November 1998, pp. 579 583 Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF) Baikil Choi and Hyeongtag Jeon School

More information

Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for. High-Performance Photodetector. Supporting Information for

Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for. High-Performance Photodetector. Supporting Information for Supporting Information for Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for High-Performance Photodetector Zhenjun Tan,,ǁ, Yue Wu,ǁ, Hao Hong, Jianbo Yin, Jincan Zhang,, Li Lin, Mingzhan

More information

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Chapter 10. Nanometrology. Oxford University Press All rights reserved. Chapter 10 Nanometrology Oxford University Press 2013. All rights reserved. 1 Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands

More information

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition Supporting Information for Wafer Scale Homogeneous Bilayer Graphene Films by Chemical Vapor Deposition Seunghyun Lee, Kyunghoon Lee, Zhaohui Zhong Department of Electrical Engineering and Computer Science,

More information

Chapter 12. Nanometrology. Oxford University Press All rights reserved.

Chapter 12. Nanometrology. Oxford University Press All rights reserved. Chapter 12 Nanometrology Introduction Nanometrology is the science of measurement at the nanoscale level. Figure illustrates where nanoscale stands in relation to a meter and sub divisions of meter. Nanometrology

More information

EV Group. Engineered Substrates for future compound semiconductor devices

EV Group. Engineered Substrates for future compound semiconductor devices EV Group Engineered Substrates for future compound semiconductor devices Engineered Substrates HB-LED: Engineered growth substrates GaN / GaP layer transfer Mobility enhancement solutions: III-Vs to silicon

More information

Continuous room-temperature operation of optically pumped InGaAs/InGaAsP microdisk lasers

Continuous room-temperature operation of optically pumped InGaAs/InGaAsP microdisk lasers Continuous room-temperature operation of optically pumped InGaAs/InGaAsP microdisk lasers S. M. K. Thiyagarajan, A. F. J. Levi, C. K. Lin, I. Kim, P. D. Dapkus, and S. J. Pearton + Department of Electrical

More information

Carbon Quantum Dots/NiFe Layered Double Hydroxide. Composite as High Efficient Electrocatalyst for Water

Carbon Quantum Dots/NiFe Layered Double Hydroxide. Composite as High Efficient Electrocatalyst for Water Supplementary Information Carbon Quantum Dots/NiFe Layered Double Hydroxide Composite as High Efficient Electrocatalyst for Water Oxidation Di Tang, Juan Liu, Xuanyu Wu, Ruihua Liu, Xiao Han, Yuzhi Han,

More information

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer SUHAILA SEPEAI, A.W.AZHARI, SALEEM H.ZAIDI, K.SOPIAN Solar Energy Research Institute (SERI), Universiti Kebangsaan Malaysia (UKM), 43600

More information

III-V nanostructured materials synthesized by MBE droplet epitaxy

III-V nanostructured materials synthesized by MBE droplet epitaxy III-V nanostructured materials synthesized by MBE droplet epitaxy E.A. Anyebe 1, C. C. Yu 1, Q. Zhuang 1,*, B. Robinson 1, O Kolosov 1, V. Fal ko 1, R. Young 1, M Hayne 1, A. Sanchez 2, D. Hynes 2, and

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

Supplementary Information. Experimental Evidence of Exciton Capture by Mid-Gap Defects in CVD. Grown Monolayer MoSe2

Supplementary Information. Experimental Evidence of Exciton Capture by Mid-Gap Defects in CVD. Grown Monolayer MoSe2 Supplementary Information Experimental Evidence of Exciton Capture by Mid-Gap Defects in CVD Grown Monolayer MoSe2 Ke Chen 1, Rudresh Ghosh 2,3, Xianghai Meng 1, Anupam Roy 2,3, Joon-Seok Kim 2,3, Feng

More information

Supporting Information. InGaAs Nanomembrane/Si van der Waals Heterojunction. Photodiodes with Broadband and High Photoresponsivity

Supporting Information. InGaAs Nanomembrane/Si van der Waals Heterojunction. Photodiodes with Broadband and High Photoresponsivity Supporting Information InGaAs Nanomembrane/Si van der Waals Heterojunction Photodiodes with Broadband and High Photoresponsivity Doo-Seung Um, Youngsu Lee, Seongdong Lim, Jonghwa Park, Wen-Chun Yen, Yu-Lun

More information

Regents of the University of California

Regents of the University of California Deep Reactive-Ion Etching (DRIE) DRIE Issues: Etch Rate Variance The Bosch process: Inductively-coupled plasma Etch Rate: 1.5-4 μm/min Two main cycles in the etch: Etch cycle (5-15 s): SF 6 (SF x+ ) etches

More information

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots S. F. Hu a) National Nano Device Laboratories, Hsinchu 300, Taiwan R. L. Yeh and R. S. Liu Department of Chemistry, National

More information

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL

GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL GRAPHENE ON THE Si-FACE OF SILICON CARBIDE USER MANUAL 1. INTRODUCTION Silicon Carbide (SiC) is a wide band gap semiconductor that exists in different polytypes. The substrate used for the fabrication

More information

Improved Interfacial and Electrical Properties of GaSb Metal Oxide

Improved Interfacial and Electrical Properties of GaSb Metal Oxide Improved Interfacial and Electrical Properties of GaSb Metal Oxide Semiconductor Devices Passivated with Acidic (NH 4 ) 2 S Solution Lianfeng Zhao, Zhen Tan, Jing Wang, and Jun Xu * Tsinghua National Laboratory

More information

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting Process Hyun-Jin Song, Won-Ki Lee, Chel-Jong Choi* School of Semiconductor

More information

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures Polarized white light from hybrid organic/iii-nitrides grating structures M. Athanasiou, R. M. Smith, S. Ghataora and T. Wang* Department of Electronic and Electrical Engineering, University of Sheffield,

More information

Ultrafast single photon emitting quantum photonic structures. based on a nano-obelisk

Ultrafast single photon emitting quantum photonic structures. based on a nano-obelisk Ultrafast single photon emitting quantum photonic structures based on a nano-obelisk Je-Hyung Kim, Young-Ho Ko, Su-Hyun Gong, Suk-Min Ko, Yong-Hoon Cho Department of Physics, Graduate School of Nanoscience

More information

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc.

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc. 9702 Gayton Road, Suite 320, Richmond, VA 23238, USA Phone: +1 (804) 709-6696 info@nitride-crystals.com www.nitride-crystals.com Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals,

More information

3-1-2 GaSb Quantum Cascade Laser

3-1-2 GaSb Quantum Cascade Laser 3-1-2 GaSb Quantum Cascade Laser A terahertz quantum cascade laser (THz-QCL) using a resonant longitudinal optical (LO) phonon depopulation scheme was successfully demonstrated from a GaSb/AlSb material

More information

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide ARTICLE IN PRESS Journal of Physics and Chemistry of Solids 69 (2008) 555 560 www.elsevier.com/locate/jpcs Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide Jun Wu a,, Ying-Lang

More information

SUPPLEMENTARY FIGURES

SUPPLEMENTARY FIGURES SUPPLEMENTARY FIGURES a b c Supplementary Figure 1 Fabrication of the near-field radiative heat transfer device. a, Main fabrication steps for the bottom Si substrate. b, Main fabrication steps for the

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2004) Fabrication Technology, Part I Agenda: Microfabrication Overview Basic semiconductor devices Materials Key processes Oxidation Thin-film Deposition Reading:

More information

ANTIMONY ENHANCED HOMOGENEOUS NITROGEN INCORPORATION INTO GaInNAs FILMS GROWN BY ATOMIC HYDROGEN-ASSISTED MOLECULAR BEAM EPITAXY

ANTIMONY ENHANCED HOMOGENEOUS NITROGEN INCORPORATION INTO GaInNAs FILMS GROWN BY ATOMIC HYDROGEN-ASSISTED MOLECULAR BEAM EPITAXY ANTIMONY ENHANCED HOMOGENEOUS NITROGEN INCORPORATION INTO GaInNAs FILMS GROWN BY ATOMIC HYDROGEN-ASSISTED MOLECULAR BEAM EPITAXY Naoya Miyashita 1, Nazmul Ahsan 1, and Yoshitaka Okada 1,2 1. Research Center

More information

ECS Transactions, 33 (4) (2010) / The Electrochemical Society

ECS Transactions, 33 (4) (2010) / The Electrochemical Society 329 10.1149/1.3483522 The Electrochemical Society Surface and Interface Characterization of Sequentially Plasma Activated Silicon, Silicon dioxide and Germanium Wafers for Low Temperature Bonding Applications

More information

Novel materials and nanostructures for advanced optoelectronics

Novel materials and nanostructures for advanced optoelectronics Novel materials and nanostructures for advanced optoelectronics Q. Zhuang, P. Carrington, M. Hayne, A Krier Physics Department, Lancaster University, UK u Brief introduction to Outline Lancaster University

More information

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition 1 Supporting Information Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition Jaechul Ryu, 1,2, Youngsoo Kim, 4, Dongkwan Won, 1 Nayoung Kim, 1 Jin Sung Park, 1 Eun-Kyu

More information

Self-assembled nanostructures for antireflection optical coatings

Self-assembled nanostructures for antireflection optical coatings Self-assembled nanostructures for antireflection optical coatings Yang Zhao 1, Guangzhao Mao 2, and Jinsong Wang 1 1. Deaprtment of Electrical and Computer Engineering 2. Departmentof Chemical Engineering

More information

Supporting Information. Using Graphene Oxide-based Fluoropolymer

Supporting Information. Using Graphene Oxide-based Fluoropolymer Supporting Information Interface Anchored Effect on Improving Working Stability of Deep Ultraviolet Light-Emitting Diode Using Graphene Oxide-based Fluoropolymer Encapsulant Renli Liang 1,Jiangnan Dai

More information

Structural and Optical Properties of III-III-V-N Type

Structural and Optical Properties of III-III-V-N Type i Structural and Optical Properties of III-III-V-N Type Alloy Films and Their Quantum Wells ( III-III-V- N 型混晶薄膜および量子井戸の構造的および光学的性質 ) This dissertation is submitted as a partial fulfillment of the requirements

More information

Supporting Information. Direct n- to p-type Channel Conversion in Monolayer/Few-Layer WS 2 Field-Effect Transistors by Atomic Nitrogen Treatment

Supporting Information. Direct n- to p-type Channel Conversion in Monolayer/Few-Layer WS 2 Field-Effect Transistors by Atomic Nitrogen Treatment Supporting Information Direct n- to p-type Channel Conversion in Monolayer/Few-Layer WS 2 Field-Effect Transistors by Atomic Nitrogen Treatment Baoshan Tang 1,2,, Zhi Gen Yu 3,, Li Huang 4, Jianwei Chai

More information

1300nm-Range GaInNAs-Based Quantum Well Lasers with High Characteristic Temperature

1300nm-Range GaInNAs-Based Quantum Well Lasers with High Characteristic Temperature 3nm-Range GaInNAs-Based Quantum Well Lasers with High Characteristic Temperature by Hitoshi Shimizu *, Kouji Kumada *, Seiji Uchiyama * and Akihiko Kasukawa * Long wavelength- SQW lasers that include a

More information

Electroluminescence from Silicon and Germanium Nanostructures

Electroluminescence from Silicon and Germanium Nanostructures Electroluminescence from silicon Silicon Getnet M. and Ghoshal S.K 35 ORIGINAL ARTICLE Electroluminescence from Silicon and Germanium Nanostructures Getnet Melese* and Ghoshal S. K.** Abstract Silicon

More information

Size Scaling of Photonic Crystal Surface Emitting Lasers on Silicon Substrates

Size Scaling of Photonic Crystal Surface Emitting Lasers on Silicon Substrates Size Scaling of Photonic Crystal Surface Emitting Lasers on Silicon Substrates Volume 10, Number 3, June 2018 Open Access Shih-Chia Liu Deyin Zhao Xiaochen Ge Carl Reuterskiöld-Hedlund Mattias Hammar Shanhui

More information

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES 148 A p p e n d i x D SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES D.1 Overview The supplementary information contains additional information on our computational approach

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 12: Mechanics

More information

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment 연구논문 한국진공학회지제 16 권 6 호, 2007 년 11 월, pp.474~478 Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment Min-Cherl Jung 1, Young Ju Park 2, Hyun-Joon Shin 1, Jun Seok Byun

More information

Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma

Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma Journal of the Korean Physical Society, Vol. 54, No. 3, March 2009, pp. 11561160 Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma S. M. Kang, W. J. Park and

More information

Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups.

Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups. ICQNM 2014 Currently, worldwide major semiconductor alloy epitaxial growth is divided into two material groups. Cubic: Diamond structures: group IV semiconductors (Si, Ge, C), Cubic zinc-blende structures:

More information

Investigation of the bonding strength and interface current of p-siõn-gaas wafers bonded by surface activated bonding at room temperature

Investigation of the bonding strength and interface current of p-siõn-gaas wafers bonded by surface activated bonding at room temperature Investigation of the bonding strength and interface current of p-siõn-gaas wafers bonded by surface activated bonding at room temperature M. M. R. Howlader, a) T. Watanabe, and T. Suga Research Center

More information

Supporting Information: Poly(dimethylsiloxane) Stamp Coated with a. Low-Surface-Energy, Diffusion-Blocking,

Supporting Information: Poly(dimethylsiloxane) Stamp Coated with a. Low-Surface-Energy, Diffusion-Blocking, Supporting Information: Poly(dimethylsiloxane) Stamp Coated with a Low-Surface-Energy, Diffusion-Blocking, Covalently Bonded Perfluoropolyether Layer and Its Application to the Fabrication of Organic Electronic

More information

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct Supplementary Information Rapid Stencil Mask Fabrication Enabled One-Step Polymer-Free Graphene Patterning and Direct Transfer for Flexible Graphene Devices Keong Yong 1,, Ali Ashraf 1,, Pilgyu Kang 1,

More information

Fabrication at the nanoscale for nanophotonics

Fabrication at the nanoscale for nanophotonics Fabrication at the nanoscale for nanophotonics Ilya Sychugov, KTH Materials Physics, Kista silicon nanocrystal by electron beam induced deposition lithography Outline of basic nanofabrication methods Devices

More information

Supporting Information

Supporting Information Electronic Supplementary Material (ESI) for ChemComm. This journal is The Royal Society of Chemistry 2014 Supporting Information Controllable Atmospheric Pressure Growth of Mono-layer, Bi-layer and Tri-layer

More information

Photonic Crystal Nanocavities for Efficient Light Confinement and Emission

Photonic Crystal Nanocavities for Efficient Light Confinement and Emission Journal of the Korean Physical Society, Vol. 42, No., February 2003, pp. 768 773 Photonic Crystal Nanocavities for Efficient Light Confinement and Emission Axel Scherer, T. Yoshie, M. Lončar, J. Vučković

More information

Supporting information. Infrared Characterization of Interfacial Si-O Bond Formation on Silanized. Flat SiO 2 /Si Surfaces

Supporting information. Infrared Characterization of Interfacial Si-O Bond Formation on Silanized. Flat SiO 2 /Si Surfaces Supporting information Infrared Characterization of Interfacial Si-O Bond Formation on Silanized Flat SiO 2 /Si Surfaces Ruhai Tian,, Oliver Seitz, Meng Li, Wenchuang (Walter) Hu, Yves Chabal, Jinming

More information

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer Stanford University Michael Shandalov1, Shriram Ramanathan2, Changhyun Ko2 and Paul McIntyre1 1Department of Materials Science and Engineering, Stanford University 2Division of Engineering and Applied

More information

Supporting Information

Supporting Information Supporting Information Assembly and Densification of Nanowire Arrays via Shrinkage Jaehoon Bang, Jonghyun Choi, Fan Xia, Sun Sang Kwon, Ali Ashraf, Won Il Park, and SungWoo Nam*,, Department of Mechanical

More information

Supplementary Information. for. Controlled Scalable Synthesis of Uniform, High-Quality Monolayer and Fewlayer

Supplementary Information. for. Controlled Scalable Synthesis of Uniform, High-Quality Monolayer and Fewlayer Supplementary Information for Controlled Scalable Synthesis of Uniform, High-Quality Monolayer and Fewlayer MoS 2 Films Yifei Yu 1, Chun Li 1, Yi Liu 3, Liqin Su 4, Yong Zhang 4, Linyou Cao 1,2 * 1 Department

More information

Ge Quantum Well Modulators on Si. D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner

Ge Quantum Well Modulators on Si. D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner 10.1149/1.2986844 The Electrochemical Society Ge Quantum Well Modulators on Si D. A. B. Miller, R. K. Schaevitz, J. E. Roth, Shen Ren, and Onur Fidaner Ginzton Laboratory, 450 Via Palou, Stanford CA 94305-4088,

More information

Ferroelectric Zinc Oxide Nanowire Embedded Flexible. Sensor for Motion and Temperature Sensing

Ferroelectric Zinc Oxide Nanowire Embedded Flexible. Sensor for Motion and Temperature Sensing Supporting information for: Ferroelectric Zinc Oxide Nanowire Embedded Flexible Sensor for Motion and Temperature Sensing Sung-Ho Shin 1, Dae Hoon Park 1, Joo-Yun Jung 2, Min Hyung Lee 3, Junghyo Nah 1,*

More information

Nanostructured Organic-Inorganic Thin Film Photovoltaics

Nanostructured Organic-Inorganic Thin Film Photovoltaics Supporting Information Fabrication of Coaxial TiO 2 /Sb 2 S 3 Nanowire Hybrids for Nanostructured Organic-Inorganic Thin Film Photovoltaics Juliano C. Cardoso, a Craig A. Grimes,*,a Xinjian Feng, b Xiaoyan

More information

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e) (a) (b) Supplementary Figure 1. (a) An AFM image of the device after the formation of the contact electrodes and the top gate dielectric Al 2 O 3. (b) A line scan performed along the white dashed line

More information

CHAPTER 3. OPTICAL STUDIES ON SnS NANOPARTICLES

CHAPTER 3. OPTICAL STUDIES ON SnS NANOPARTICLES 42 CHAPTER 3 OPTICAL STUDIES ON SnS NANOPARTICLES 3.1 INTRODUCTION In recent years, considerable interest has been shown on semiconducting nanostructures owing to their enhanced optical and electrical

More information

Plan for Lectures #4, 5, & 6. Theme Of Lectures: Nano-Fabrication

Plan for Lectures #4, 5, & 6. Theme Of Lectures: Nano-Fabrication Plan for Lectures #4, 5, & 6 Theme Of Lectures: Nano-Fabrication Quantum Wells, SLs, Epitaxial Quantum Dots Carbon Nanotubes, Semiconductor Nanowires Self-assembly and Self-organization Two Approaches

More information

Relaxation of a Strained Elastic Film on a Viscous Layer

Relaxation of a Strained Elastic Film on a Viscous Layer Mat. Res. Soc. Symp. Proc. Vol. 695 Materials Research Society Relaxation of a Strained Elastic Film on a Viscous Layer R. Huang 1, H. Yin, J. Liang 3, K. D. Hobart 4, J. C. Sturm, and Z. Suo 3 1 Department

More information

Engineered Flexible Conductive Barrier Films for Advanced Energy Devices

Engineered Flexible Conductive Barrier Films for Advanced Energy Devices The 13 th Korea-U.S. Forum on Nanotechnology Engineered Flexible Conductive Barrier Films for Advanced Energy Devices Jinsung Kwak 1, Yongsu Jo 1, Soon-Dong Park 2, Na Yeon Kim 1, Se-Yang Kim 1, Zonghoon

More information

Advantages of the Blue InGaN/GaN Light-Emitting Diodes with an AlGaN/GaN/AlGaN Quantum Well Structured Electron Blocking Layer

Advantages of the Blue InGaN/GaN Light-Emitting Diodes with an AlGaN/GaN/AlGaN Quantum Well Structured Electron Blocking Layer pubs.acs.org/journal/apchd5 Advantages of the Blue InGaN/GaN Light-Emitting Diodes with an AlGaN/GaN/AlGaN Quantum Well Structured Electron Blocking Layer Zhen Gang Ju, Wei Liu, Zi-Hui Zhang, Swee Tiam

More information

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract

Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells. Abstract Fabrication of Efficient Blue Light-Emitting Diodes with InGaN/GaN Triangular Multiple Quantum Wells R. J. Choi, H. W. Shim 2, E. K. Suh 2, H. J. Lee 2, and Y. B. Hahn,2, *. School of Chemical Engineering

More information

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor

Supporting Information. Direct Growth of Graphene Films on 3D Grating. Structural Quartz Substrates for High-performance. Pressure-Sensitive Sensor Supporting Information Direct Growth of Graphene Films on 3D Grating Structural Quartz Substrates for High-performance Pressure-Sensitive Sensor Xuefen Song, a,b Tai Sun b Jun Yang, b Leyong Yu, b Dacheng

More information

Epitaxial Issues and Growth Morphologies of InAlAs/InGaAs MQWs and Heterostructures on (100) and non -(100) InP Substrates

Epitaxial Issues and Growth Morphologies of InAlAs/InGaAs MQWs and Heterostructures on (100) and non -(100) InP Substrates Epitaxial Issues and Growth Morphologies of InAlAs/InGaAs MQWs and Heterostructures on (100) and non -(100) InP Substrates by Aris Christou Materials Science and Engineering University of Maryland, College

More information

Surface atoms/molecules of a material act as an interface to its surrounding environment;

Surface atoms/molecules of a material act as an interface to its surrounding environment; 1 Chapter 1 Thesis Overview Surface atoms/molecules of a material act as an interface to its surrounding environment; their properties are often complicated by external adsorbates/species on the surface

More information

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be Chapter 2 7 FABRICATION PROCEDURE AND TESTING SETUP 2.1 Introduction In this chapter, the fabrication procedures and the testing setups for the sub-micrometer lasers, the submicron disk laser and the photonic

More information

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References

Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References Title of file for HTML: Supplementary Information Description: Supplementary Figures and Supplementary References Supplementary Figure 1. SEM images of perovskite single-crystal patterned thin film with

More information

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline

Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Supplementary Information Plasmonic Hot Hole Generation by Interband Transition in Gold-Polyaniline Tapan Barman, Amreen A. Hussain, Bikash Sharma, Arup R. Pal* Plasma Nanotech Lab, Physical Sciences Division,

More information

SEMICONDUCTOR GROWTH TECHNIQUES. Introduction to growth techniques (bulk, epitaxy) Basic concepts in epitaxy (MBE, MOCVD)

SEMICONDUCTOR GROWTH TECHNIQUES. Introduction to growth techniques (bulk, epitaxy) Basic concepts in epitaxy (MBE, MOCVD) SEMICONDUCTOR GROWTH TECHNIQUES Introduction to growth techniques (bulk, epitaxy) Basic concepts in epitaxy (MBE, MOCVD) Growth Processes Bulk techniques (massive semiconductors, wafers): Si, compounds

More information

Room temperature Cu Cu direct bonding using surface activated bonding method

Room temperature Cu Cu direct bonding using surface activated bonding method Room temperature Cu Cu direct bonding using surface activated bonding method T. H. Kim, a) M. M. R. Howlader, T. Itoh, and T. Suga Research Center for Advanced Science and Technology, The University of

More information

Enhanced photocurrent of ZnO nanorods array sensitized with graphene. quantum dots

Enhanced photocurrent of ZnO nanorods array sensitized with graphene. quantum dots Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2015 Enhanced photocurrent of ZnO nanorods array sensitized with graphene quantum dots Bingjun Yang,

More information

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Supporting Information Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS Kamran Khajehpour,* a Tim Williams, b,c Laure Bourgeois b,d and Sam Adeloju a

More information

wafer Optical Properties and Band Offsets of CdS/PbS Superlattice. AlAs GaAs AlAs GaAs AlAs GaAs AlAs I.A. Ezenwa *1 and A.J.

wafer Optical Properties and Band Offsets of CdS/PbS Superlattice. AlAs GaAs AlAs GaAs AlAs GaAs AlAs I.A. Ezenwa *1 and A.J. Optical Properties and Band Offsets of Superlattice. I.A. Ezenwa *1 and A.J. Ekpunobi 2 1 Department of Industrial Physics, Anambra State University, Uli, Anambra State, Nigeria. 2 Department of Physics

More information

Optimisation of 1.3-μm InAs/GaAs Quantum-Dot Lasers Monolithically Grown on Si Substrates

Optimisation of 1.3-μm InAs/GaAs Quantum-Dot Lasers Monolithically Grown on Si Substrates Journal of Physics: Conference Series PAPER OPEN ACCESS Optimisation of 1.3-μm InAs/GaAs Quantum-Dot Lasers Monolithically Grown on Si Substrates To cite this article: Mingchu Tang et al 215 J. Phys.:

More information

Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height

Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height Supplementary Figure S1. AFM characterizations and topographical defects of h- BN films on silica substrates. (a) (c) show the AFM height topographies of h-bn film in a size of ~1.5µm 1.5µm, 30µm 30µm

More information

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric 048 SCIENCE CHINA Information Sciences April 2010 Vol. 53 No. 4: 878 884 doi: 10.1007/s11432-010-0079-8 Frequency dispersion effect and parameters extraction method for novel HfO 2 as gate dielectric LIU

More information

Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die

Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die Supplementary Figure 1 Scheme image of GIXD set-up. The scheme image of slot die printing system combined with grazing incidence X-ray diffraction (GIXD) set-up. 1 Supplementary Figure 2 2D GIXD images

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Author(s) Citation Advantages of the Blue InGaN/GaN Light-Emitting Diodes with an AlGaN/GaN/AlGaN Quantum

More information

Nanosphere Lithography

Nanosphere Lithography Nanosphere Lithography Derec Ciafre 1, Lingyun Miao 2, and Keita Oka 1 1 Institute of Optics / 2 ECE Dept. University of Rochester Abstract Nanosphere Lithography is quickly emerging as an efficient, low

More information

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B Thin Solid Films 488 (2005) 167 172 www.elsevier.com/locate/tsf An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B S.C. Chen a, T, J.C. Lou a, C.H. Chien

More information

PHOTOACOUSTIC TECHNIQUE FOR MEASURING BAND-GAP ENERGY OF POROUS SILICON LAYER ON n-si SUBSTRATE. Department of Physics,

PHOTOACOUSTIC TECHNIQUE FOR MEASURING BAND-GAP ENERGY OF POROUS SILICON LAYER ON n-si SUBSTRATE. Department of Physics, PHOTOACOUSTIC TECHNIQUE FOR MEASURING BAND-GAP ENERGY OF POROUS SILICON LAYER ON n-si SUBSTRATE. Chan Kok Sheng 1, W. Mahmood Mat Yunus 1, Wan Md. Zin Wan Yunus 2, Zainal Abidin Talib 1 and Anuar Kassim

More information

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield 2D MBE Activities in Sheffield I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield Outline Motivation Van der Waals crystals The Transition Metal Di-Chalcogenides

More information

A new method of growing graphene on Cu by hydrogen etching

A new method of growing graphene on Cu by hydrogen etching A new method of growing graphene on Cu by hydrogen etching Linjie zhan version 6, 2015.05.12--2015.05.24 CVD graphene Hydrogen etching Anisotropic Copper-catalyzed Highly anisotropic hydrogen etching method

More information

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2 Nanostructure Materials Growth Characterization Fabrication More see Waser, chapter 2 Materials growth - deposition deposition gas solid Physical Vapor Deposition Chemical Vapor Deposition Physical Vapor

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Facile Synthesis of High Quality Graphene Nanoribbons Liying Jiao, Xinran Wang, Georgi Diankov, Hailiang Wang & Hongjie Dai* Supplementary Information 1. Photograph of graphene

More information

High-Performance Photocoupler Based on Perovskite Light Emitting Diode and Photodetector

High-Performance Photocoupler Based on Perovskite Light Emitting Diode and Photodetector Supporting information for High-Performance Photocoupler Based on Perovskite Light Emitting Diode and Photodetector Zhi-Xiang Zhang, Ji-Song Yao, Lin Liang, Xiao-Wei Tong, Yi Lin, Feng-Xia Liang, *, Hong-Bin

More information

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON

RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON Section Micro and Nano Technologies RESEARCH ON BENZENE VAPOR DETECTION USING POROUS SILICON Assoc. Prof. Ersin Kayahan 1,2,3 1 Kocaeli University, Electro-optic and Sys. Eng. Umuttepe, 41380, Kocaeli-Turkey

More information

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure Author Pan, Yue, M. Collins, Aaron, Algahtani, Fahid, W. Leech, Patrick, K. Reeves, Geoffrey, Tanner,

More information

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application Electronic Supplementary Material (ESI) for Materials Horizons. This journal is The Royal Society of Chemistry 2017 Electronic Supplementary Information Molecular Antenna Tailored Organic Thin-film Transistor

More information

Self-Assembled InAs Quantum Dots

Self-Assembled InAs Quantum Dots Self-Assembled InAs Quantum Dots Steve Lyon Department of Electrical Engineering What are semiconductors What are semiconductor quantum dots How do we make (grow) InAs dots What are some of the properties

More information

CVD: General considerations.

CVD: General considerations. CVD: General considerations. PVD: Move material from bulk to thin film form. Limited primarily to metals or simple materials. Limited by thermal stability/vapor pressure considerations. Typically requires

More information

Laser Crystallization of Organic-Inorganic Hybrid

Laser Crystallization of Organic-Inorganic Hybrid Supporting information Laser Crystallization of Organic-Inorganic Hybrid Perovskite Solar Cells Taewoo Jeon, Hyeong Min Jin, Seung Hyun Lee, Ju Min Lee, Hyung Il Park, Mi Kyung Kim, Keon Jae Lee, Byungha

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Lateral heterojunctions within monolayer MoSe 2 -WSe 2 semiconductors Chunming Huang 1,#,*, Sanfeng Wu 1,#,*, Ana M. Sanchez 2,#,*, Jonathan J. P. Peters 2, Richard Beanland 2, Jason S. Ross 3, Pasqual

More information

Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA.

Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA. Segmented 1.55um Laser with 400% Differential Quantum Efficiency J. Getty, E. Skogen, L. Coldren, University of California, Santa Barbara, CA. Abstract: By electrically segmenting, and series-connecting

More information

Lecture 3: Heterostructures, Quasielectric Fields, and Quantum Structures

Lecture 3: Heterostructures, Quasielectric Fields, and Quantum Structures Lecture 3: Heterostructures, Quasielectric Fields, and Quantum Structures MSE 6001, Semiconductor Materials Lectures Fall 2006 3 Semiconductor Heterostructures A semiconductor crystal made out of more

More information