Actinic review of EUV masks: First results from the AIMS EUV system integration

Similar documents
High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

EUVL Readiness for High Volume Manufacturing

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

We published the text from the next page.

EUV Lithography Status and Key Challenges for HVM Implementation

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Chromeless Phase Lithography (CPL)

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

EUV Lithography Towards Industrialization

Overview of EUV Lithography and EUV Optics Contamination

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Analysis of carbon contamination on EUV mask using CSM/ ICS

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Critical Dimension Uniformity using Reticle Inspection Tool

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

IEUVI Mask Technical Working Group

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

High Optical Density Photomasks For Large Exposure Applications

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Interactions of 3D mask effects and NA in EUV lithography

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

ASML Approach to Euv Reticle Handling

Progress on ASML s EUV Alpha Demo Tool

Cost of Ownership Considerations for Maskless Lithography

ORION NanoFab: An Overview of Applications. White Paper

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Status of EUV Sources for Mask Metrology

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Characterization of Optical Proximity Correction Features

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Status of multilayer coatings for EUV Lithography

EUV lithography industrialization for HVM

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Lecture 14 Advanced Photolithography

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Nanoparticle Contamination Control and Metrology for the EUVL Systems

Development of a Mask-Scan EB Mask Writing System

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Lecture 0: Introduction

Litho scenario solutions for FinFET SRAM 22nm node

Resist-outgas testing and EUV optics contamination at NIST

custom reticle solutions

EUREKA: A new Industry EUV Research Center at LBNL

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Customized EUV optics made by optix fab

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

E152 Standard Revision: EUV-pod Reticle Carrier

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Current development status of Shin-Etsu EUV pellicle

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Immersed diffraction gratings for the Sentinel-5 earth observation mission. Ralf Kohlhaas

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Development status of back-end process for UV-NIL template fabrication

Lecture 8. Photoresists and Non-optical Lithography

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Measurement of EUV scattering from Mo/Si multilayer mirrors

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Cost Implications of EUV Lithography Technology Decisions

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Model 2300XP PSL & Process-Particle Wafer Deposition System

Figuring sequences on a super-smooth sample using ion beam technique

CUSTOM RETICLE SOLUTIONS

157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

SHRINK. STACK. INTEGRATE.

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging

Mask Characterization for Double Patterning Lithography

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform

EUVL Optics lifetime and contamination. European Update

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

Photolithography II ( Part 1 )

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

UV2Litho Usable Vacuum Ultra Violet Lithography

object objective lens eyepiece lens

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Sensors and Metrology. Outline

PARTICLE MEASUREMENT IN CLEAN ROOM TECHNOLOGY

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

SMP625 Product Specifications

Transcription:

Invited Paper Actinic review of EUV masks: First results from the AIMS EUV system integration Markus R. Weiss* a, Dirk Hellweg a, Jan Hendrik Peters b, Sascha Perlitz b, Anthony Garetto b, Michael Goldstein c a Carl Zeiss SMT GmbH, Rudolf-Eber-Str. 2, 73447 Oberkochen, Germany; b Carl Zeiss SMS GmbH, Carl-Zeiss-Promenade 10, 07745 Jena, Germany; c Intel assignee at Sematech, SEMATECH, Albany, NY 12203, USA. ABSTRACT The EUV mask infrastructure is of key importance for a successful introduction of EUV lithography into volume production. In particular, for the production of defect free masks, actinic review of potential defect sites is required. To realize such an actinic review tool, Zeiss and the SEMATECH EUVL Mask Infrastructure consortium started a development programme for an EUV aerial image metrology system (AIMS EUV). In this paper, we discuss the status of the on-going system integration and show first results from the first light tests of the prototype tool. Keywords: Mask metrology, AIMS, Aerial image review, EUV, scanner emulation, defect review, EUV optics 1. INTRODUCTION Sources of defects vary from particle inclusions in the resist or cleaning liquids, debris coming from the process chamber, or embedded defects in the base material the mask blank. Any defect that is larger in size than about one fourth of the smallest open structure could potentially destroy the performance. Defects for the 193-nm photomasks are usually an excess or lack of absorber material. As photomasks have to be defect-free, repair is a standard process step in mask fabrication. Mask repair is performed, for example, using the e-beam-based mask repair tool MeRiT [1]. In the MeRiT, an electron beam column is combined with a gas injection system. Depending on the gases used, the e-beam triggers a chemical reaction, and the material can be either etched or deposited on the nanometer scale. In particular, defects on masks are of major concern because they can destroy the electrical functionality of the chip. However, not all of these defects print, i.e. it is important to know, which defects are acceptable and which defects print, i.e. need to be repaired. This defect review is done with the AIMS EUV tool, the repair in case of high-end masks is usually done with the MeRiT tool. Figure 1 shows how the AIMS EUV is used in mask manufacturing process flow. The AIMS EUV navigates to potential defect sites as identified by blank inspection or patterned mask inspection tools and takes aerial images under the same imaging conditions as will be used in the wafer fab exposure tool (scanner). In particular it uses the same illumination setting, NA, chief ray angle and wavelength as used in the scanner. Furthermore, aerial images can be taken for various focus levels to cover the focus accuracy of the wafer exposure. It should be noted that the AIMS EUV measures these aerial images directly, i.e. no defect simulation nor any knowledge of the defect parameters is needed. Based on these aerial images it can be decided whether or not the potential defect needs to be repaired and after repair the success of the repair can be verified. *Markus.Weiss@ZEISS.com; phone +49 7364 20-4416; fax +49 7364 20-4509 Extreme Ultraviolet (EUV) Lithography V, edited by Obert R. Wood II, Eric M. Panning, Proc. of SPIE Vol. 9048, 90480X 2014 SPIE CCC code: 0277-786X/14/$18 doi: 10.1117/12.2046302 Proc. of SPIE Vol. 9048 90480X-1

)I2Ern 9911 t3919b 29VI9091 lernoteu3 I1.112293DU2 ei 11Eg91 grit ìi E9tsbil6V 2to919b iedio2dr 211Gg951 Jnilq Iliw 2b91eb rl0iriw Z9r1rrm9t9O noito9qzni b9n19tteq r- illim79moieu3 441 VU3 '"T2MIAE. ''TiS19M 4* VU3 MT2MIA 4. noibag2nl Figure 1: The AIMS EUV in the mask manufacturing process. The AIMS EUV reviews potential defect sites identified by inspection tools by taking aerial images of these sites under the same imaging conditions as used in the scanner. Based on these aerial images it can be decided whether or not a repair is required. The repair can be done e.g. with the MeRiT tool. The success of the repair can be verified with the AIMS EUV as well as the successful hiding of blank defects after pattern shift. The introduction of EUV lithography into volume manufacturing is a major step in advancing to smaller design nodes while keeping the process complexity, i.e. the number of process steps on a level comparable to nowadays products. This introduction poses major challenges on the mask manufacturing process. EUV lithography imposes new challenges as the mask is a ML mirror on a substrate conceptually similar to those comprising the optical system but with an extra absorber layer deposited on the surface ( Figure 2). The absorber layer is patterned and removed in specific areas according to a design in order to generate the desired aerial image under specific illumination settings that will be imaged on the photoresist. This increased complexity of the EUV photomask has given rise to several new defect types [2]. Both refractive masks and EUV masks are susceptible to absorber defects, which are flaws or damage to the patterned absorber layer. These defects can be clear defects where the absorber material is missing or opaque defects in the form of excess absorber material remaining in unwanted areas. The EUV masks alone have a new class of ML defects, which arise during the ML deposition process or are present on the substrate itself before the ML deposition. They can be generally classified as bump or pit defects, which lead to a reduced local intensity of the aerial image at the defect and the effect of one defocus direction becoming sharper. bsorber apping Layer f Multi- Layer Blank substrate Figure 2: Schematic drawing of a cut through a EUVL mask. In addition to the absorber layer defects, new defects arise from blank substrate defects or defects during coating since these influence the reflectivity of the mask and the phase of the reflected light. Since the penetration depth into the material and the multilayer reflection heavily depends on wavelength, their imaging effect critically depends on the wavelength. Thus, in particular for these defects the review needs to be actinic. Furthermore, the repair strategy for these defects is different from that for absorber defects [2]. Whereas the absorber structure can be repaired directly as indicated in Figure 1, multilayer and blank defects are beneath the substrate s surface Proc. of SPIE Vol. 9048 90480X-2

and as of today cannot be repaired directly. However, their effect can be compensated to a large extend by modifications of the absorber structure [3]. Up to now this compensation can be verified only by using wafer prints. However, for a volume manufacturing process the review and verification with wafer prints is not practical in terms of costs, cycling times and process complexity such that an AIMS TM EUV will be needed for that. The Process flow for a defect free EUV mask as shown in Figure 1 is as follows: again for potential absorber defect positions are detected by a patterned mask inspection tool. Then the need of a repair is clarified with an AIMS EUV defect review. If required the absorber is repaired with the repair tool MeRiT HR followed by verification with the AIMS EUV. For multilayer defects the defect map is acquired mainly by the blank inspection tool. In principle there are two compensational methods: pattern shift and compensational absorber repair. For pattern shift the pattern is shifted in the mask writing process with respect to the defect such that the defect is hidden by the absorber structure. For compensational absorber repair the absorber is changed in such a way that the change counteracts the effect of the multilayer defect [2]. Such actinic review by AIMS EUV is mandatory to ensure defect free EUVL mask production. In 2009 this need of an actinic AIMS for cost-effective EUV volume manufacturing was identified by the Sematech technical working group [4] and in 2010 a principal concept choice for an all-euv concept was proposed by Zeiss [3]. Zeiss and the SEMATECH EUVL Mask Infrastructure (EMI) program started a development programme for the AIMS EUV. Key system performance parameters (see Figure 3) are CD-reproducibility and run rate. Due to photon statistics (shot noise) the CD-reproducibility is improving with a higher number of photons per area on the reticle, i.e. a given CDreproducibility requires a sufficient number of detected photons. For a given run rate the photon rate defines the shot noise contribution to the CD-reproducibility budget. This photon rate is determined by the solid angle Ω of the scanner setting to be emulated, the source brightness and the system transmission. Given the limited brightness of available EUV-sources an efficient use of photons by the system is paramount. Therefore, the AIMS EUV is designed for high transmission and maximization of exposure time percentage. I.e. all components of the AIMS EUV including the illumination and projection optics, the CCD sensor and the reticle stage are optimized for a higher run rate. Performance Specifications Target node Scanner emulation CD Reproducibility Run Rate standard 7 focus planes per site Run Rate fast mode* 7 focus planes per site 'CD -repro = 1.8 nm (3a) 7nm logic (16nm hp) Up to 0.33 NA 551.5 nm (3a, mask level) 27.5/hr 51 /hr > 38.5% pupil fill > 77% pupil fill 55 /hr >38.5% pupil fill Figure 3 : AIMS EUV performance specification, which will be realized in the current development project. The Target application is 7 nm logic for 16nm hp. Scanner of a NA up to 0,33 will be emulated. The derived CD reproducibility requirement is 1.5 nm (3σ, mask level). The run rate is depend on pupil fill. An CAD drawing in Figure 4 shows the internal structure of the cleanroom part of the AIMS TM EUV system with the outer wall removed. The mask is loaded by the operator into the load port of the outer handling (right, front) and then transferred by a lifter and robot into the loadlock vacuum chamber, which is the interface between the atmospheric air part of the tool and the vacuum part. The system will be able to handle dual pods and reticle SMIF pods. After evacuation of the loadlock chamber the mask pod is transferred into the reticle handling vacuum chamber, where the mask is removed from the pod and then moved into the main vacuum chamber and loaded to the reticle stage. After reticle alignment and system calibrations the aerial image measurement sequence is performed, i.e. the defect review. For Proc. of SPIE Vol. 9048 90480X-3

this purpose the reticle stage places each location to be investigated in the field of view of the AIMS projection optics and the aerial images are acquired using a CCD sensor. For unloading the mask is moved in the opposite way as in the loading process. The details of the system concept have been discussed previously [6] [7]. EMC: Inner (vacuum) handling MS r y N EMC: EUV Metrology Core Load port and outer handling unit Figure 4: Artists view of the cleanroom part of the AIMS EUV with the outer wall removed. In front are the EUV metrology core (EMC) containing the optics, source, inner handling, stage and main chamber. On the right side, the operator places the mask in the load port for transfer into the system. In this paper we will report the main results of the first light tests, demonstrating that the first prototype is already close to specification and the final specifications will be met. 2. PROJECT STATUS The main project started in early 2011 (project schedule see Figure 5). After completing the final design status [8] final integration of the EUV metrology core (EMC) and the outer handling was started. Upon completion of this stage of the prototype in the clean room (see Figure 6), the source was successfully switched on and first EUV photons were recorded by the CCD camera. The system was then tested for automatic image capture, focus stacks, CD-analysis, CDreproducibility and first programmed defects were analyzed. The results of these tests will be discussed in the next chapter. Figure 5 : AIMS EUV development project timeline. The project started in early 2011. Final design and start of EMC integration was successful completed. First light tests were started in December 2013. After final integration and early customer access phase, the first customer tool delivery is scheduled in early 2015. Proc. of SPIE Vol. 9048 90480X-4

'MU I unsisti. *SAM l.-41k Figure 6 : Status AIMS EUV prototype integration in the cleanroom at Carl Zeiss. The outer walls are removed to give easy access to the EMC and the handling modules during installation and testing. After completion of final integration, early access to EMI member companies will be possible in summer 2014. The first customer delivery will be early 2015. 3. FIRST LIGHT RESULTS After starting the source and alignment of the illumination- and imaging-system aerial images of several mask features were recorded (n.b. all dimension are at mask level): vertical dense lines (64 nm/128 nm), vertical semi isolated lines (64 nm/256 nm), vertical isolated lines (64 nm/768 nm), dense elbows (64 nm/128 nm) dense contacts (80 nm/160 nm), diagonal semi isolated lines (64 nm/640 nm), isolated contacts (128 nm/1280 nm), isolated elbows (64 nm/640 nm), semi isolated elbows (64 nm/384 nm) and a ZEISS logo of size 6µm x 6µm. The high contrast of these patterns, which are typical for 16 nm half pitch node, demonstrates that the system is already close to specification (see Figure 7). Proc. of SPIE Vol. 9048 90480X-5

CD/Pitch: 64nm / 128nm 64nm / 256nm 64nm / 768nm 64nm /128nm 80nm/ 160nm CD/Pitch 64nm/ 640nm 128nm / 1280nm 64nm / 640nm 64nm / 384nm 6Nm x 6Nm Figure 7 : First Light images of test patterns: vertical dense lines (64 nm/128 nm), vertical semi isolated lines (64 nm/256 nm), vertical isolated lines (64 nm/768 nm), dense elbows (64 nm/128 nm) dense contacts (80 nm/160 nm), diagonal semi isolated lines (64 nm/640 nm), isolated contacts (128 nm/1280 nm), isolated elbows (64 nm/640 nm), semi isolated elbows (64 nm/384 nm) and a ZEISS logo of size 6µm x 6µm (64nm(@mask) dense lines/spaces: NA = 0.33 / 4, Illumination: annular, sigma 0.2 0.9, Chief ray angle: 6 in y-direction, 0 in x-direction (corresponding to NXE:3300 center of field) To demonstrate the high contrast and low noise of the images, enlarged version of dense lines and semi isolated lines is shown in Figure 8. For the isolate lines a very high contrast was obtained. Even for the dense lines, a good contrast is achieved considering the here chosen illumination setting with large annular sigma of 0.2 0.9. i Figure 8 : Enlarged images of the dense lines and semi isolated lines demonstrating very good contrast and low noise, even considering the large annular illuminator setting. The next test focused on typical measurements, that are required for Bossung curves. Figure 9 shows two examples (horizontal and vertical lines) of the flow, how the Bossung curves are deduced from the acquired images. First, multiple slices are defined along the line. For each slice, the CD is analyzed for different thresholds. The Bossung curve is then Proc. of SPIE Vol. 9048 90480X-6

plotted for the mean CD over the slices for the defined thresholds. Figure 9 shows the Bossung curves for the measured features. Measurement Conditions were: NA = 0.33 / 4, Illumination: annular, sigma 0.2 0.9 Chief ray angle: 6 in y-direction, 0 in x 7 focus levels, focus range ±857nm Slice width for CD-evaluation 200nm Figure 9 : Example through focus CD measurements and Bossung plots on 5bar elbows (64 nm/384 nm). ; Left: slices along the line used for CD analysis; Middle: CD analysis for each slice and threshold definition; Right: Bossung curve mean CD (over all slices) for the defined thresholds We also performed first tests for CD reproducibility on horizontal semi-isolated lines. Figure 10 shows from CD-SEM images on the mask, that the mask itself contributes a significant CD variation along the lines. Analyzing the AIMS EUV images for CD along the line (slices) and repeating this measurement shows, that the contribution of the mask itself is much larger than the CD reproducibility contribution of the AIMS EUV. Therefore, the AIMS EUV has the capability of measuring this mask contribution and for qualification of the tool, the contribution of the mask needs to be taken out by always analyzing the same mask position, as it was done for the analysis in the following sections. Proc. of SPIE Vol. 9048 90480X-7

Figure 10 : Left: CD-SEM image of measured features on the mask (from mask supplier); Middle: AIMS EUV image of corresponding lines with slices indicating, where AIMS EUV CD measurements were performed; Right: analysis of CD (y-axis) along the line (x-axis) for repeated measurements (different curves) in the AIMS EUV the CD variations caused by the mask are much larger than the CD reproducibility impact caused by the AIMS EUV Finally, programmed defects on semi-isolated lines were imaged and well resolved in the CD analysis along the line (Figure 11). AIMS EUV detects the programmed defects. Figure 11 : Left: image with programmed defects, Middle: zoomed image; Right: CD analysis along the lines measured at best focus, size given in the middle image is nominal size (edge length of square) of programmed defect. Real size on reticle might differ; CD evaluated for 70 nm slices 4. CONCLUSION We have developed a system concept which allows for actinic review of defects on EUV masks down to the 16nm hp node such that we are able to close an important gap in the infrastructure for EUV volume production. Key features of the AIMS TM EUV include emulation capability of all EUV scanner generations (ASML ADT, NXE:3100 and NXE:3300, i.e. NA 0.25 0.33) with the same node-scaled CD-reproducibility as the current AIMS TM 32. The prototype major components (EUV source, reticle handling, stage, optics, CCD camera) are integrated and first light images were achieved, which are already close to specification and far better than expected at this stage of the project. The excellent First Light results demonstrate AIMS EUV system will reach specifications and technical risks associated with the project have been considerably reduced by this achievement 5. ACKNOWLEDGEMENTS The authors would like to thank SEMATECH and the EMI members for their support and contributions to this project. REFERENCES [1] A. Garetto et al., Proc. of SPIE 7488, 7844-15 (2009). [2] J. H. Peters und et. al., EUV Defect Repair Strategy, in 2011 International Symposium on Extreme Ultraviolet Lithography, Miami, 2011. [3] R. Jonckheere et al, Repair of natural EUV reticle defects, Proc. of SPIE Vol. 8166, 81661G (2011). Proc. of SPIE Vol. 9048 90480X-8

[4] B. Rice, The EUV Mask Metrology Gap, 2009 International Symposium on Extreme Ultraviolet Lithography, Prague, Czech Republic (2009). [5] H. Feldmann et al, Actinic Review of EUV Masks, Proc. SPIE Vol. 7636, 76361C (2010). [6] D. Hellweg et al, AIMS EUV - the actinic aerial image review platform for EUV masks, Proc. SPIE Vol. 7969, 79690H (2011). [7] D. Hellweg et al. Closing the infrastructure gap: status of the AIMS EUV project", Proc. SPIE 8322, Extreme Ultraviolet (EUV) Lithography III, 83220L (March 29, 2012);. [8] Anthony Garetto et al. Status of the AIMS EUV development project ", Proc. SPIE 8886, 29th European Mask and Lithography Conference, 88860I (October 1, 2013). Proc. of SPIE Vol. 9048 90480X-9