Novel Bonding Technology for Hermetically Sealed Silicon Micropackage

Similar documents
Electrostatic Bonding of Silicon-to-ITO coated #7059 Glass using Li-doped Oxide Interlayer

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

Ferroelectric Zinc Oxide Nanowire Embedded Flexible. Sensor for Motion and Temperature Sensing

Development of low cost set up for anodic bonding and its characterization

Fabrication of silicon membrane using fusion bonding and two-step electrochemical etch-stopping

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Si/GaAs heterostructures fabricated by direct wafer bonding

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Formation of Nanostructured Layers for Passivation of High Power Silicon Devices

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Supplementary Information for. Origin of New Broad Raman D and G Peaks in Annealed Graphene

ECS Transactions, 33 (4) (2010) / The Electrochemical Society

Supporting Information

Regents of the University of California

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Control of Optical Properties by the Stepwise Chemical and Plasma Spray Treatment of Polycarbonate

Fabrication Technology, Part I

Investigating extremely low resistance ohmic contacts to silicon carbide using a novel test structure

Supporting Information

Electronic Supplementary Information. Molecular Antenna Tailored Organic Thin-film Transistor for. Sensing Application

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

2.76/2.760 Multiscale Systems Design & Manufacturing

Room temperature Cu Cu direct bonding using surface activated bonding method

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

An Investigation on NEG Thick Film for Vacuum packaging of MEMS

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

High Performance, Low Operating Voltage n-type Organic Field Effect Transistor Based on Inorganic-Organic Bilayer Dielectric System

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

Mechanics of wafer bonding: Effect of clamping

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

ELECTROTHERMALLY BONDED CARBON NANOTUBE INTERFACES

REFRACTORY METAL OXIDES: FABRICATION OF NANOSTRUCTURES, PROPERTIES AND APPLICATIONS

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

SENSOR DEVICES MECHANICAL SENSORS

Self-assembled and intercalated film of reduced. graphene oxide for a novel vacuum pressure sensor

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Friction and Elongation of Al Electrodes due to Micro-Sliding between the Inner Mo Electrode and the Al Electrodes in High-Power Devices

Supporting Information

Fabrication and Characterization of High Performance Micro Impedance Inclinometer

Surface Characteristics of a Polyimide Film Treated with a Dielectric Barrier Discharge Plasma

Hybrid Wafer Level Bonding for 3D IC

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

1. Narrative Overview Questions

Flexible nonvolatile polymer memory array on

NOTCH FRACTURE OF MEMS SENSORS MADE OF SINGLE CRYSTAL SILICON

Fabrication of a One-dimensional Tube-in-tube Polypyrrole/Tin oxide Structure for Highly Sensitive DMMP Sensor Applications

Supporting Information. InGaAs Nanomembrane/Si van der Waals Heterojunction. Photodiodes with Broadband and High Photoresponsivity

Modelling of Electrostatic Force for Glass-to-Glass Anodic Bonding

Institute for Electron Microscopy and Nanoanalysis Graz Centre for Electron Microscopy

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Bioassay on a Robust and Stretchable Extreme Wetting. Substrate through Vacuum-Based Droplet Manipulation

S. Ichikawa*, R. Kuze, T. Shimizu and H. Shimaoka INTRODUCTION

Carbon Nanotube Synaptic Transistor Network for. Pattern Recognition. Supporting Information for

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

Investigation of the bonding strength and interface current of p-siõn-gaas wafers bonded by surface activated bonding at room temperature

>1000-Fold Lifetime Extension of Nickel Electromechanical Contact Device via Graphene

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

MODELING OF T-SHAPED MICROCANTILEVER RESONATORS. Margarita Narducci, Eduard Figueras, Isabel Gràcia, Luis Fonseca, Joaquin Santander, Carles Cané

REDUCED GRAPHITE OXIDE-INDIUM TIN OXIDE COMPOSITES FOR TRANSPARENT ELECTRODE USING SOLUTION PROCESS

Wafer-Scale Single-Domain-Like Graphene by. Defect-Selective Atomic Layer Deposition of

Plasma Deposition (Overview) Lecture 1

Crystalline Surfaces for Laser Metrology

Polymer/drug films as a model system for a drug eluting coronary stent coating layer

CAPACITIVE MICRO PRESSURE SENSORS WITH UNDERNEATH READOUT CIRCUIT USING A STANDARD CMOS PROCESS

SUPPORTING INFORMATION

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface

Outline. 4 Mechanical Sensors Introduction General Mechanical properties Piezoresistivity Piezoresistive Sensors Capacitive sensors Applications

Y. C. Lee. Micro-Scale Engineering I Microelectromechanical Systems (MEMS)

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR

Formation mechanism and Coulomb blockade effect in self-assembled gold quantum dots

A Servo-Controlled Capacitive Pressure Sensor Using a Capped-Cylinder Structure Microfabricated by a Three-Mask Process

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter

MICROMECHANICAL TEMPERATURE SENSOR

Supporting Information

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment

Supplementary Figure 2 Photoluminescence in 1L- (black line) and 7L-MoS 2 (red line) of the Figure 1B with illuminated wavelength of 543 nm.

Au-Ti THIN FILMS DEPOSITED ON GaAs

This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented.

SUPPLEMENTARY INFORMATION

CHAPTER 6: Etching. Chapter 6 1

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Semiconductor Junctions

Organization of silica spherical particles into different shapes on silicon substrates

SUPPLEMENTARY INFORMATION

Relaxation of a Strained Elastic Film on a Viscous Layer

Van der Waals Interaction between Polymer Aggregates and Substrate Surface Analyzed by Atomic Force Microscope (AFM)

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

Electronic Supplementary Information

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Transcription:

Jpn. J. Appl. Phys. Vol. 38 (1999) pp. 1 6 Part 1, No. 1A, January 1999 c 1999 Publication Board, Japanese Journal of Applied Physics Novel Bonding Technology for Hermetically Sealed Silicon Micropackage Duck-Jung LEE 1,, Byeong-Kwon JU 1, Woo-Beom CHOI 1, Jee-Won JEONG 1, Yun-Hi LEE 1, Jin JANG 2, Kwang-Bae LEE 3 and Myung-Hwan OH 1 1 Electronic Materials and Devices Research Center, Korea Institute of Science and Technology, P.O. Box 131, Cheongryang, Seoul 130-650, Korea 2 Department of Physics, Kyunghee University, Hoigi-dong, Dongdaemun-gu, Seoul 130-701, Korea 3 Department of Physics, Sangji University, Usan-dong, Wonju 220-702, Korea (Received February 13, 1998; accepted for publication September 4, 1998) We performed glass-to-silicon bonding and fabricated a hermetically sealed silicon wafer using silicon direct bonding followed by anodic bonding (SDAB). The hydrophilized glass and silicon wafers in solution were dried and initially bonded in atmosphere as in the silicon direct bonding (SDB) process, but annealing at high temperature was not performed. Anodic bonding was subsequently carried out for the initially bonded specimens. Then the wafer pairs bonded by the SDAB method were different from those bonded by the anodic bonding process only. The effects of the bonding process on the bonded area and tensile strength were investigated as functions of bonding temperature and voltage. Using scanning electron microscopy (SEM), the cross-sectional view of the bonded interface region was observed. In order to investigate the migration of the sodium ions in the bonding process, the concentration of the bonded glass was compared with that of standard glass. The specimen bonded using the SDAB process had higher efficiency than that using the anodic bonding process only. KEYWORDS: hydrophilization, anodic bonding, SDAB, tensile strength, SIMS analysis, hermetic sealing 1. Introduction The bonding process of two wafers is of interest recently 1, 2) for micropackaging of microelectromechanical devices. Especially in the case of glass-to-silicon bonding, anodic bonding, which does not require a post heat treatment at high temperature, has become a promising technique. Anodic bonding seems to be a simple process, but it provides a strong, hermetic seal which protects the actuator sensors from the atmosphere. 3, 4) Anodic bonding can be applied to achieve the structure required of pressure and acceleration sensors. Since the invention of anodic bonding in 1969 by Wallis and Pomerantz, 5) Pyrex #7740 glass having nearly the same thermal expansion coefficient as silicon, has usually been used for it. 6) Glass-to-silicon anodic bonding has generally been used for making microsensors and microactuators. 7) Anodic bonding occurs as a consequence of the high level of electric pressure applied during the process. The metal or semiconductor is positive with respect to the glass. A high temperature is necessary to increase the ion mobility. When the dc voltage is applied, the positive ions in the glass, mainly Na +, move to the negative electrode forming a depletion layer. The depletion layer is formed in the glass close to the metal or semiconductor surface. As a consequence, a high electrostatic field is generated that pulls the surfaces together, allowing the formation of atomic bonds. The bonding process at a high temperature induces a higher thermal residual stress. Built in stress could negatively affect the device s performance. However, lowering the bonding temperature can reduce the mechanical strength in the bonded structure and the thermal residual stress. In order to obtain the profile of electrostatic force, Poisson s equation must be solved. 8) The equation for the glass is d 2 V dx 2 E-mail: djlee@kistmail.kist.re.kr = de dx = Q(x) ε g = qn 0 ε g, (1) where Q(x) is the charge in the depletion region due to the presence of the fixed negative ions, ε g is the dielectric constant of the deposited glass layer, q is the electron charge and N 0 is the fixed negative ion concentration in the bulk glass. From eq. (1), using the boundary condition, the electrostatic force P between the glass and silicon is given by P = 1 2 ε 0E 2 = 1 ( ) 2 ε qn0 x 2 p 0 = ε g qn 0 V p, (2) where x p is the length of the depletion region and V p is the potential at x = x p. Therefore, electrostatic force increases as applied voltage increases. Furthermore, the increase of temperature results in a higher diffusion rate of the sodium ions (increase of x p ). Silicon direct bonding (SDB) is a process in which two separate wafers are brought into contact at room temperature and then annealed in a furnace. When two wafers with highly hydrophillic or hydrophobic surfaces are brought into contact at room temperature, an initial bond is formed via Van der Waals forces at the surface. If subsequently annealed at a high temperature (>700 C) in dry oxygen or an inert ambient, the native oxides of the weakly bonded wafer pair merge to form a 9, 10) contiguous SiO 2 layer that attaches bonds them. Direct bonding has commonly been used for bonding silicon-silicon wafers. 11) In this silicon direct bonding followed by anodic bonding (SDAB) process, the microgap existing between two wafers is reduced by the initial bonding of hydrophilized wafers. The electrostatic force between wafers is higher than in the case of anodic bonding only. Therefore, the SDAB method was newly developed to obtain a wide bonded area and a higher tensile strength, even if the glass wafer possesses a rough surface. The improved bonding properties were discussed in terms of the measured tensile strength, current-time curve and the secondary ion mass spectroscopy (SIMS) analysis before and after the bonding process. The thermal residual stress is generated by the anodic bonding process. The bonding process at a high temperature induces a high thermal residual stress. The thermal stress is an important factor in micromachined mechanical sensors. However, generated stress could negatively affect the device performance. In particular, lower ε 0 1

2 Jpn. J. Appl. Phys. Vol. 38 (1999) Pt. 1, No. 1A D.-J. LEE et al. bonding temperature can reduce the mechanical strength in the bonded structure despite the advantage of lower thermal residual stress. 2. Experimental The anodic bonding process is as follows. A cleaned silicon wafer is held against a cleaned glass wafer, and the glassto-silicon assembly is placed on a hot plate. A typical experimental setup for anodic bonding is illustrated in Fig. 1. A positive electrode is attached to the silicon wafer, and an additional electrode is attached to the glass wafer. A dc voltage is then applied across the electrodes at the elevated temperature. The electric field builds up across the silicon/glass interface and serves to pull the two wafers into contact. The materials used in the bonding experiments were n- type silicon wafers which were 200 µm thick, 1 10 cm, 1 inch in diameter and (100)-oriented, and Corning #7740 glass wafers which were the 500 µm thick and 1 inch in diameter. The glass-to-silicon bonding was carried out by two procedures and the bonded specimens were therefore classified into two groups. The samples belonging to group A were bonded using only anodic bonding performed at temperatures in the range of 200 300 C with a dc voltage in the range of 60 300 V. The applied voltage was turned off after cooling to prevent back-diffusion of the sodium ions. The samples belonging to group B were initially bonded following to the SDB steps without high-temperature annealing. The initially bonded wafer pairs in group B were left for 24 h at room temperature to allow the H 2 O existing between glass and silicon to dry completely. Then, anodic bonding was carried out on the initially bonded specimens. The bonding process using SDAB can be described as follows. (Silicon and Pyrex #7740 glass wafers were treated under the same condition.) 1) RCA cleaning 2) (In the case of SDAB) Hydrophilization: dipping in H 2 O/H 2 O 2 /NH 4 OH (6 : 1 : 4) solution for 2 3 min at 55 60 C 3) Rinsing with deionized water 4) Spin drying 5) Initial bonding by direct bonding method 6) Anodic bonding for initially bonded specimen The surface morphology was investigated through atomic force microscope (AFM) analysis. The tensile strength of bonded specimens was investigated as functions of bonding temperature and voltage. The bonded areas of wafer pairs were measured under visible light. The impurity concentration in the bonded glass measured by SIMS was compared with that in a standard glass substrate. A hermetically sealed silicon wafer was fabricated as a result of using the SDAB method. 3. Results and Discussion 3.1 Surface roughness of glass and silicon wafers The surface roughness of the wafer is one of the most important parameters in the bonding process. A rough surface may be the main reason for failure in the bonding process. The surface roughness of the wafers used in the experiment was measured by AFM, and the measured images are shown in Fig. 2. The AFM results are summarized in Table I, where Bare" indicates the pure silicon wafer [Fig. 2] and pure glass wafer [Fig. 2]. Group B denotes the silicon wafer [Fig. 2(c)] and glass wafer [Fig. 2(d)] after RCA cleaning and hydrophilization. The glass wafer had a higher surface roughness than the silicon wafer. It was confirmed that the average surface roughness of group B samples increased nearly two times, compared to that of the bare glass and silicon. 3.2 Comparison of bonded area after bonding process The nonbonded areas between the bonded glass-to-silicon wafer pairs were measured under the illumination of visual light. The typical features of the samples belonging to group A and group B are shown in Figs. 3 and 3, respectively. The samples of group B were initially bonded by hydrophilization without annealing, then anodic bonding was carried out under the same condition as for group A. These samples were bonded at a temperature of 250 C with dc voltage of 300 V. In the case of group A, the bonded area occupied more than 10% of the whole wafer area, but the nonbonded area is apparent in the side area, as shown in Fig. 3. In case of group B, on the other hand, the bonded area occupied more than 70% of the whole wafer area. In order to characterize the effect of the bonding voltage on the bonded area, the specimens of group A and group B were bonded at a temperature of 300 C with applied voltages of 250 V and 300 V, respectively. The obtained experimental results were presented in Fig. 4. The results in Figures 3 and 4 show that the bonded area increased with increasing applied voltage and temperature. This increase induced a large electrostatic force and resulted in allowed strong bonding. Also, in the samples of SDAB, initial bonding at room temperature takes place as a result of interaction between Si OH groups formed due to hydrophilicity and pulls them together by Van der Walls force. Increased electrostatic force results because of the decreased gap between the glass and silicon wafer. Therefore, we suggest that the migration rate of the sodium ions in the glass wafer is higher than in the case of the anodic process only, which in turn result in a wider bonded area. Fig. 1. Experimental setup for anodic bonding. 3.3 Current and time characteristics of bonding process In order to characterize the bonding process, current-time characteristics were measured for group A and group B during the start period. The bonding current was measured at

Jpn. J. Appl. Phys. Vol. 38 (1999) Pt. 1, No. 1A D.-J. LEE et al. 3 Table I. wafer. Roughness parameters of AFM images for silicon wafer and glass Wafer Parameter Silicon Glass Bare Group B Bare Group B Peak to valley (Å) 17 39 174 274 Median height(å) 5.1 14 69 96 Ave. roughness(å) 1.1 2.0 12 12 (c) Fig. 3. Image of bonded area for group A and group B. (d) Fig. 2. AFM image of bare silicon and glass, silicon and glass of group B after hydrophilization. 300 C with an applied voltage of 250 V for 10 min. The bonding current decayed rapidly and then remained at a minimum level, as shown in Fig. 5. The obtained current density profile was well fitted with a typical current-time relationship in the anodic bonding process. The bonding current is due to the transport of sodium ions in the glass wafer. Figure 5 shows that the bonding current of group B was higher than that of group A. We infer that initial bonding by the hydrophilic process reduced the gap between silicon and glass wafer, resulting in a higher diffusion rate of the sodium ions which in turn

4 Jpn. J. Appl. Phys. Vol. 38 (1999) Pt. 1, No. 1A D.-J. LEE et al. Fig. 4. Comparison of the bonded area with changed dc voltage for group A and group B. Fig. 5. causes a higher bonding current. Current-time characteristics. 3.4 Tensile strength of the bonded wafer pairs In order to determine the bond strength of the glass-tosilicon wafer pairs, the tensile strength method was employed. The obtained result is illustrated in Fig. 6. The bonding strength is plotted as a function of applied voltage at a temperature of 330 C [Fig. 6] and as a function of temperature at the applied voltage of 350 V [Fig. 6]. It is shown that group B has higher strength than group A under the same conditions. The strength of bonded wafers was obtained from measured strength divided by the bonded area. Upon increasing the bonding temperature from 200 to 300 C and the bonding voltage from 60 to 300 V, the bonding strength increases and reaches the bulk bonding strength of the Pyrex glass substrate (lower than 25 MPa). In all samples, breakage occurred in the glass or silicon-glass interface. 5, 11) The limit of measurement is 20 MPa with our equipment. Destruction above 20 MPa infers the bulk bonding strength of glass. In our experiment, the bond strength obtained by a tensile strength method was between 0.1 MPa and 20 Mpa. Above 20 MPa, bonded bulk Pyrex #7740 glass was disrupted for all specimens. In these results, the specimen bonded using SDAB (group B) had higher tensile strength than that bonded using anodic bonding (group A) only. In general, the strength of bulk glass has been reported to be lower than 25 MPa. 12)

Jpn. J. Appl. Phys. Vol. 38 (1999) Pt. 1, No. 1A D.-J. LEE et al. 5 Fig. 7. SEM micrographs of the bonded interface region for group B. Fig. 6. Tensile strength characteristics for group A and group B as function of the applied voltage and the bonding temperature. 3.5 Comparison of the interface region using SEM The specimens were cut and polished to investigate the cross-sectional bonded interface region of the glass-to-silicon assembly. The samples were bonded at the dc voltage of 350 V and temperature of 300 C. Figure 7 shows the scanning electron microscopy (SEM) micrograph of the interface region of group B. The white spots are grains of alumina powder used in polishing. 3.6 Cross-sectional SEM view of hermetically sealed silicon wafer Figure 8 shows a SEM micrograph of the cross-sectional Fig. 8. SEM micrographs of the multiple bonded interface region. Structure of multiple Bond. Interface region between silicon and upper glass. view of the hermetically sealed silicon wafer by the SDAB method. The structure can be applied for the sealing of micromachined sensors. A cavity of 1 mm 2mm 500 µm was formed on the silicon substrate by anisotropic etching of

6 Jpn. J. Appl. Phys. Vol. 38 (1999) Pt. 1, No. 1A D.-J. LEE et al. A samples. It is well known that a deeper depletion region results in having a stronger bonding strength. Therefore, we propose that the deeper depletion region in the case of SDAB results in a higher efficiency than when using the anodic bonding process. Fig. 9. Relative depth profiles of Na for the surface region of glass wafers after debonding. the silicon substrate in ethylenediamine-pyrocatechol-water (EPW). We performed bonding with multiple layers in a glass-silicon-glass sandwich structure. Negative voltage was applied to the upper and the lower glass simultaneously. Finally, the cavity was sealed by multiple bonding of the two glass wafers. Figure 8 shows a SEM micrograph of the interface region between silicon and the upper glass wafer. 3.7 Role of the sodium ion in the bonding process Sodium ion plays a very important role in anodic bonding. A region depleted of sodium ions results in electrostatic force that pulls them together, leading to the formation of atomic bonds. In order to investigate the migration of sodium ions during the bonding process, SIMS analysis was carried out on the surface of glass wafers. The bonding temperature was 300 C and applied voltage was 250 V. The wafer pairs were debonded by inserting a blade into the bonded interface. The SIMS data obtained from the surface of a bare Pyrex #7740 glass wafer with the sputtering rate of 100 Å/min were compared with those for the surfaces of group A (anodic) and group B (SDAB) glass wafers. Figure 9 shows the relative depth profile of a glass wafer before and after bonding. It is apparent that the sodium ions were depleted in the surface region of the glass wafer in contact with the silicon wafer to be bonded. In the case of SDAB, we can clearly observe that the depletion region of sodium ions is deeper than that in group 4. Conclusion In order to modify silicon-to-glass wafer anodic bonding in a conventional environment, we employed the SDAB process of initial bonding of a hydrophilized wafer. The improved bonding properties using SDAB were discussed based on the measured strength, current-time curve and the SIMS analysis before and after bonding. A wide bonded area, higher tensile strength, increased bonding current and deeper depletion layer were obtained. As mentioned above, the SDAB method shows superior characteristics than other methods. It is believed that the decrease of the gap between glass and silicon wafers in the initial hydrophilic bonding process produces higher electrostatic force, based on the result of the currenttime curve and depth profile. As a result, it is possible to enhance the bonding efficiency by adopting the SDAB process. The results indicate that the process can be applied to vacuum packaging of microelectronic devices and microsensors. Acknowledgments This work was supported by the Ministry of Science and Technology and the Ministry of Industry and Energy of Korea. The authors wish to thank J. H. Jung and H. W. Park of KIST for assistance in this work. 1) C. D. Fung, P. W. Cheung, W. H. Ko and D. G. Fleming: Micromachining and Micropackaging of Transducer (Elsevier Science, Amsterdam, 1985) p. 41. 2) B. K. Ju: Dr. Thesis, Korea University, Seoul, 1995 p. 8. 3) B. Puers, E. Peeters, V. D. Bossche and W. Sansen: Sens. & Actuat. A 21 23 (1990) 8. 4) B. Puers and D. Lapadatu: Sens. & Actuat. A 41 42 (1994) 129. 5) G. Wallis and D. I. Pomerantz: J. Appl. Phys. 40 (1969) 3946. 6) K. Gustafsson, B. Hok, S. Johansson and T. Muttay: Sens. & Mater. 2 (1988) 65. 7) A. D. Kurtz, J. R. Mallon and H. Bernstein: ISA ASI 73246 (1973) 229. 8) M. Esashi and A. Nakano: Sens. & Actuat. A21 23 (1990) 931. 9) M. Shimbo, K. Furukawa, K. Fukuda and K. Tanzawa: J. Appl. Phys. 60 (1986) 2987. 10) R. Stengl, T. Tan and U. Gosele: Jpn. J. Appl. Phys. 28 (1989) 1735. 11) E. Obermeier: Electrochem. Soc. Proc. (1995) 95-7, p. 212. 12) A. Cozma and B. Puers: Micromechanics Europe 1994 Workshop Dig., 1994, p. 40.