Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Similar documents
Evaluation of the plasmaless gaseous etching process

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Novel Photo Resist Stripping for Single Wafer Process

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Study of static electricity in wafer cleaning process M. Wada 1a, T. Sueto 1b, H. Takahashi 1c, N. Hayashi 1d, and A. Eitoku 1e

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

CHAPTER 6: Etching. Chapter 6 1

Infrared Absorption Measurement of Carbon Concentration Down to 1x10 14 /cm 3 In CZ Silicon

Japan. Keywords: wet etching, nanoscale region, dhf (dilute hydrofluoric acid solution), electric double layer, solid-liquid interface

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

CVD: General considerations.

LECTURE 5 SUMMARY OF KEY IDEAS

Section 3: Etching. Jaeger Chapter 2 Reader

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Fabrication Technology, Part I

nmos IC Design Report Module: EEE 112

Introduction to Photolithography

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Self Formation of Porous Silicon Structure: Primary Microscopic Mechanism of Pore Separation

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Film Deposition Part 1

EE-612: Lecture 22: CMOS Process Steps

Plasma Deposition (Overview) Lecture 1

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

Reactive Ion Etching (RIE)

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

Device Fabrication: Etch

Chemistry, Max-von-Laue-Str. 7, D Frankfurt, Germany. F Bernin Crolles Cedex France

Atomic layer deposition of titanium nitride

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Stabilizing the forming process in unipolar resistance switching

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Nanocrystalline Si formation inside SiN x nanostructures usingionized N 2 gas bombardment

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

Surface and Interface Characterization of Polymer Films

Research and Development of Parylene Thin-Film Deposition and Application for Water-Proofing

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

e - Galvanic Cell 1. Voltage Sources 1.1 Polymer Electrolyte Membrane (PEM) Fuel Cell

Wet and Dry Etching. Theory

ETCHING Chapter 10. Mask. Photoresist

Alternative deposition solution for cost reduction of TSV integration

Lecture 0: Introduction

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

Tilted ion implantation as a cost-efficient sublithographic

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Defense Technical Information Center Compilation Part Notice

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Etching: Basic Terminology

Agenda. 1. Atomic Layer Deposition Technology

Skill Building UNDERSTANDING AND BALANCING EQUATIONS

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

Gas utilization in remote plasma cleaning and stripping applications

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

IC Fabrication Technology

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Processing and Characterization of GaSb/High-k Dielectric Interfaces. Pennsylvania 16802, USA. University Park, Pennsylvania 16802, USA

Supplementary Information

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

The Mechatronics Design for Measuring Fluid Friction Losses in Pipe Flows Rıza Gurbuz

Cleaning of Silicon-Containing Carbon Contamination

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Reactive Etching and Surface Damage

Ceramic Processing Research

In-situ Ar Plasma Cleaning of Samples Prior to Surface Analysis

Regents of the University of California

Supporting information. Uniform Graphene Quantum Dots Patterned from Selfassembled

Oxidation of hydrogenated crystalline silicon as an alternative approach for ultrathin SiO 2 growth

Improvement of MgO Characteristics Using RF-Plasma Treatment in AC Plasma Display Panel

MICROCHIP MANUFACTURING by S. Wolf

Applications of XPS, AES, and TOF-SIMS

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

A 20 nm gate-length ultra-thin body p-mosfet with silicide source/drain

Taurus-Topography. Topography Modeling for IC Technology

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Friction Drive Simulation of a SAW Motor with Slider Surface Texture Variation

Chemical Formulas and Equations

Plasma etching. Bibliography

Surface treatment of metals using an atmospheric pressure plasma jet and their surface characteristics

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Nuclear reactions produced in an operating electrolysis cell. Abstract

Transcription:

Solid State Phenomena Vols. 103-104 (2005) pp 63-66 Online available since 2005/Apr/01 at www.scientific.net (2005) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.103-104.63 Development of New Batch-type Plasma Assisted NOR (Native-Oxide-Removal) Dry Cleaning Equipment Wan-Sik Kim a, Wan Goo Hwang b, Il-Kyoung Kim c, Ki-Young Yun d, KwangMyung Lee e and Seung-Ki Chae f Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, 447-701, Republic of Korea a wansik821.kim@samsung.com, b wghwang@samsung.com c ilkyoung.kim@samsung.com, d kiyoung.yoon@samsung.com, e km0320.lee@samsung.com and f seungki.chae@samsung.com Keywords: Batch-type Process, NOR(Native Oxide Removal), Dry Cleaning Introduction The more accumulative electronic devices are required, the smaller features, whose smallest size is measured by lithography half-pitch, should be achieved for semiconductor fabrication. Unexpected design and fabrication difficulties should be also overcome as devices shrink. One of difficulties is contact resistance by unforeseen insulation native oxide layers in junction areas. An even so thin native oxide layer may make contact resistance drastically higher and therefore brings about VLSI chip operation fails. Other problems by native oxide include current leakage at gate oxide and insufficient silicide formation on a device. To resolve these issues, surface cleaning process becomes very critical to make super-clean silicon surface on contact areas and thus semiconductor industry has developed various native oxide removal methods to obtain better chip productivity. We, in this paper, present a new and more effective cleaning way by an efficient batch type apparatus with a dry process to achieve super-clean silicon surface on very small contact holes of semiconductor chips. Why dry cleaning for NOR Cleaning process indispensability is presently understood. As seen in Fig. 1, wet chemical etchant diffusion into contact holes becomes more difficult as advanced device design rule goes down to nanometer scale. By the reason, longer etch time is required to achieve satisfied-clean silicon surface. However, long time wet etching may be able to cause undesirable wall profiles by different etch selectivity for each material, i.e. Si 3 N 4 and SiO 2. Other wet etch shortcomings include watermarks, instability with silicides and low-k materials, leaning and broken-up of DRAM structures (see Fig. 2) and so forth [1-4]. However, dry cleaning is able to properly overcome those most shortcomings of wet cleaning, easily control etch selectivity, and compose etchant stagnation-free process. Thus, dry cleaning may be a substitution process to deal with wet cleaning disadvantages. Overview of NOR process Fig. 3 describes the NOR process which is composed of two steps - chemical reaction and then vaporization. To compose NOR etchants, two chemical reactants are required: hydrogen radicals that are generated by ammonia plasma and, NF 3 gas which is highly reactive with poly-silicon, SiO 2 and Si 3 N 4 and also has almost similar selectivity on three of them. NOR etchants, NH 4 F and NH 4 F:HF, are produced by the mixture of hydrogen radicals and NF 3. Compounded NOR etchants adsorb in surface and then react with SiO 2 but not with non-polar poly-silicon or weakly polarized Si 3 N 4. Especially, surface adsorbed NH 4 F and NH 4 F:HF prohibit further reaction. Dissociated oxygen radicals by reaction with etchants form water molecules. NH 4 F based etchants with silicon atoms make (NH 4 ) 2 SiF 6 [5]. Composed (NH 4 ) 2 SiF 6 product is then vaporized with a certain increased temperature. Batch type equipment optimization Batch type equipment: There are several main reasons based on production efficiency why batch-type equipment is more advantageous than clustered single type equipment. At first, it is All rights reserved. No part of contents of this paper may be reproduced or transmitted in any form or by any means without the written permission of TTP, www.ttp.net. (ID: 130.203.136.75, Pennsylvania State University, University Park, United States of America-13/06/14,12:39:05)

64 Ultra Clean Processing of Silicon Surfaces VII compatible with other batch processes: one of examples is LPCVD. NOR is the pre-cleaning process of an LPCVD deposition step. LPCVD uses a batch type furnace and thus it is more efficient to control pre- and main deposition process as a whole by batch type apparatuses. Other advantages include that the NOR batch step is more productive, easy to confirm wafer to wafer uniformity, smaller footprint and cost-low. Fig. 4 compares clustered single type and batch type equipments. Fig. 5 describes the schematic of new batch-type dry cleaning equipment. A vertical type furnace contains 50~100 wafers at one time. The mixture of nitrogen and ammonia composes plasma with 2.45 GHz microwave. Plasma with two gases generates hydrogen radicals which are supplied to the furnace. Hydrogen radicals with NF 3 in the furnace will make NOR etchant, NH 4 F and NH 4 F:HF. Experimental measurements: Table 1 summarizes experimental optimization to obtain proper parameters to satisfy process requirement. This optimization process was based on thermal oxide as a standard film. Process targets are described in the table: etching amount should be more than 30Å, uniformities in wafer and wafer to wafer should be 10% and 5% respectively. Fig. 6 shows etching amount and in wafer uniformity through optimized experiments. Total etching amount indicates around 33Å and uniformity gives around 5% in average. The data are surely acceptable to the process condition. Fig. 7 presents atomic force microscopy (AFM) surface measurement. Just before evaporation, surface is pretty rough because (NH 4 ) 2 SiF 6 and its compounds still stay on surface. However, after followed evaporation of them, the surface becomes clean than the former surface. The reason is that compounds evaporate from the surface with a certain high temperature and thus they don t exist any more on the surface. RMS surface roughness by AFM indicated around 0.2nm after etching and evaporation. Fig. 8 shows surface composition analysis results by Time of Flight Secondary Ion Mass Spectrometry (ToF-SIMS). NOR left more fluorine on wafer surface than HF wet cleaning as shown in Fig. 8(a). In addition, Fig. 8(b) describes silicon dioxide counts by NOR on wafer surface are less than by normal HF cleaning, which means dry NOR has more excellent capability than HF wet cleaning. Fig. 9 presents an X-ray photoelectron spectroscopy (XPS) result just after the NOR process ends. Before evaporation, XPS shows (NH 4 ) 2 SiF 6. However, cleaned silicon surface is observed after evaporation in Fig. 9. Numerical simulation: Fig. 10 presents numerical simulation of gas flow, heat transfer and gas concentration in a process chamber. The simulation was performed to improve wafer to wafer and in-wafer etch uniformity with a commercial simulation package, FLUENT. Fig. 10(a) depicts a temperature field on wafers in a batch chamber through the evaporation process. 50 wafers are loaded on the boat which rotates at 10 rpm. Two grey structures are heater-installed shrouds. Wafer temperature is higher at the wafer edge than at the wafer center because the wafer edge is closer to heating sources: the brighter indicates higher temperature in Fig. 10(a). Temperature distribution is not axi-symmetric because the boat slowly rotates. Fig. 10(b) and (c) express gas velocity and concentration distribution contours above a wafer. As shown in Fig. 10(b), velocity may be only seen near etchant gas nozzles and exhaust. Wafer rotation makes gas velocity asymmetry. Over the whole wafer, gas velocity is mostly released and thus fluid flow may be dominant by diffusion. Fig. 10(c) shows that gas concentration has gradient near gas nozzles but is almost uniform over the whole wafer. Diffusion may be also dominant on concentration distribution because the chamber is at a low pressure. Contact resistance: As a result, Fig. 11 compares contact resistance measurement distribution data between normal HF wet and dry batch-nor cleanings. Dots by HF spread more than those by Batch-NOR and overall average values of contact resistance by HF are pretty much higher than those by batch-nor. Contact resistance was reduced by batch-nor to 30~50% of wet HF cleaning cases. Summary We presented batch-nor as a new dry cleaning process: Batch-NOR is composed of the two-step process, etching and evaporation. It showed excellent native oxide removal efficiency and

Solid State Phenomena Vols. 103-104 65 compatibility with other batch type processes such as LPCVD. Contact resistance reduced also to around 50~80% of that of wet cleaned surface. Acknowledgement We would gratefully appreciate to cooperation by ULVAC Inc. Japan and its personnel, Y. Ono, E. Mizuno, S. Takahashi, H. Hayashi, S. M. Jung, Y. J. Kim, and J. W. Min with Samsung Electronics Co. Ltd. References [1] S.-P. Chung, K.-H. Chang, Y.-S. Koh, H.-S. Park, C.-L. Song and J.-T. Moon: Proc. 4th Intern. Symp. On Semiconductor Wafer Cleaning and Surface Characterization, (1998) p110. [2] T.R. Yew and R. Reif: J. Appl. Phys. 68 (9) (1990) p4681. [3] J. Ruzyllo, D. C. Frystak and R. A. Bowling: Intern. Eletron. Dev. Meet. 16.2.1 (1990) p409. [4] J. Kikuchi, M. Iga, H. Ogawa, S. Fujimura and H. Yano: Jpn. J. Appl. Phys. 33 (1994) p2207. [5] S. J. Fonash: J. Electrochem. Soc. 137 (12) (1990) p3885. Figure 1: Limitation of wet etching. Figure 2: Damage to DRAM by wet process. Figure 3: NOR process: etching and evaporation. (a) Clustered single type (b) Batch type Figure 4: Comparison between equipment types. Figure 5: Schematic of new batch type NOR equipment. Figure 6: Experimental etching amount and in-w/f uniformity for continuous batch.

66 Ultra Clean Processing of Silicon Surfaces VII Table 1: Experimental optimization guideline. (a) After etching (b) after evaporation (a) Fluorine (b) SiO 2 Figure 7: AFM measurement after etching and evaporation. Figure 8: ToF-SIMS comparison. (Heater-Installed Shroud) (b) Velocity (exhaust) Figure 9: XPS analysis after etching and evaporation. (c) Concentration (a) Temperature distribution (Nozzle) (a) Temperature, (b) Velocity, (c) Concentration Figure 10: Numerical simulation contour Contact Resistance (Arb. Unit) Normal HF Batch NOR Accumulated data Figure 11: Experimental result of contact resistance

Ultra Clean Processing of Silicon Surfaces VII 10.4028/www.scientific.net/SSP.103-104 Development of New Batch-Type Plasma Assisted NOR (Native-Oxide-Removal) Dry Cleaning Equipment 10.4028/www.scientific.net/SSP.103-104.63