Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Similar documents
Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Chromeless Phase Lithography (CPL)

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Characterization of Optical Proximity Correction Features

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

The MEEF Shall Inherit the Earth

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

We published the text from the next page.

High Optical Density Photomasks For Large Exposure Applications

Horizontal-Vertical (H-V) Bias, part 2

Critical Dimension Uniformity using Reticle Inspection Tool

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

High Precision Dimensional Metrology of Periodic Nanostructures using Laser Scatterometry

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Photolithography II ( Part 1 )

Mask induced polarization effects at high NA

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Sensors and Metrology. Outline

IEUVI Mask Technical Working Group

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Single Pass Die to Database Tritone Reticle Inspection Capability

Purpose: Explain the top 10 phenomena and concepts key to

OPTICAL Optical properties of multilayer systems by computer modeling

Novel Technique for Critical Dimension Measurements of Phase-shift Masks Using Broadband Transmittance Spectra in Conjunction with RCWA

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

Interactions of 3D mask effects and NA in EUV lithography

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Lithography Issues in Nano Chip Design and Manufacture

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Lecture 14 Advanced Photolithography

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Actinic review of EUV masks: First results from the AIMS EUV system integration

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

General imaging of advanced 3D mask objects based on the fully-vectorial Extended Nijboer-Zernike (ENZ) theory

Fourier Optics - Exam #1 Review

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

Development in EFG sapphire at II-VI Optical Systems

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Compact Knowledge: Absorbance Spectrophotometry. Flexible. Reliable. Personal.

POLARIZATION OF LIGHT

Gain dependence of measured spectra in coherent Brillouin optical time-domain analysis sensors

157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Extinction ratio measurements on high purity linear polarizers

EUVL Readiness for High Volume Manufacturing

Chapter 16 Holography

More on Stochastics and the Phenomenon of Line-Edge Roughness

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Demonstration of Near-Infrared Negative-Index Materials

Pattern Collapse. T h e L i t h o g r a p h y E x p e r t (November 2006) Chris A. Mack, Austin, Texas

Characterizing Closure-phase Measurements at IOTA

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

Analysis of carbon contamination on EUV mask using CSM/ ICS

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Overlay Aware Interconnect and Timing Variation Modeling for Double Patterning Technology

Rapid and precise monitor of reticle haze

193 nm STEP AND SCAN LITHOGRAPHY

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Measurement of EUV scattering from Mo/Si multilayer mirrors

Waves & Oscillations

Physics 214 Midterm Exam Spring Last Name: First Name NetID Discussion Section: Discussion TA Name:

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Litho scenario solutions for FinFET SRAM 22nm node

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Domain Decomposition Method for Electromagnetic Scattering Problems: Application to EUV Lithography

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes

Visual Test Light Scattering Reticle. Users Guide

Chapter 18. Fundamentals of Spectrophotometry. Properties of Light

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Your worst Nightmare Inspection of aggressive OPC on 14 nm masks with emphasis on defect sensitivity and wafer defect print predictability

LECTURE 11 ELECTROMAGNETIC WAVES & POLARIZATION. Instructor: Kazumi Tolich

AUV5500: Advanced in-situ dry cleaning and metrology process for next generation lithography

Physics 1212 Exam #4A (Final)

Physics 1212 Exam #4B (Final)

LECTURE 11 ELECTROMAGNETIC WAVES & POLARIZATION. Instructor: Kazumi Tolich

The Nature of Light and Matter 1 Light

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Negative Tone Development: Gaining insight through physical simulation

Interlerometric analysis of stress-induced birefringence in a rotating glass disk

Final Report for AOARD grant FA Measurement of the third-order nonlinear susceptibility of graphene and its derivatives

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Transcription:

Copyright 2 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 2th Annual BACUS Symposium on Photomask Technology, SPIE Vol. 67- and is made available as an electronic reprint with permission of SPIE. One print or electronic copy may be made for personal use only. Systematic or multiple reproduction, distribution to multiple locations via electronic or other means, duplication of any material in this paper for a fee or for commercial purposes, or modification of the content of the paper are prohibited.

The impact of mask topography on binary reticles at the 6nm node Mark D. Smith *, Jeffrey D. Byers, Chris A. Mack KLA-Tencor Corp. ABSTRACT Most lithography simulation software, such as OPC decoration engines, employ the thin-mask approximation for imaging calculations. While it is well known that a more rigorous approach is often needed for alternating phase-shift reticles, a solution to the full Maxwell equations is rarely used for binary masks. In the past, both the patterns and the thickness of the patterns for COG and attenuated PSM were relatively small compared with the illuminating wavelength. For the future technology nodes, this will not be true. For example, scattering bars are typically a quarter to a third of the size of the main feature. This means that a 6nm isolated line with typically have 6nm to 8nm assist features (mask scale). We have found a non-constant bias through pitch for low k1 imaging that is not found with the thin-mask approach. Results are presented for varying feature sizes, chrome thickness, and for both lines and spaces. Keywords: Kirchhoff approximation, thin-mask approximation, mask simulation, Maxwell equations, PROLITH 1 INTRODUCTION One of the most important inputs to any optical lithography simulator is the model for the interaction between the illuminating electric field and the mask. Most lithography simulation software, such as OPC decoration engines, this model is the thin-mask approximation. The thin-mask approximation (or Kirchhoff boundary condition) assumes that the mask transmits light in an ideal way different regions on the mask transmit the electric field with the ideal transmittance and phase, and the transition region between different types of features is a step function. Of course, the most accurate approach is to solve the Maxwell equations for the electric and magnetic fields, and when we do this, we find that the actual electric field does not match the predictions of the Kirchhoff approximation. The differences are especially dramatic for alternating phase shift masks, where there is an intensity imbalance between the shifted and unshifted clear regions on the mask. The Kirchhoff boundary condition has such widespread use for several reasons. First, the solution of the full Maxwell equations requires much more computational time than the Kirchhoff approximation. This difference is especially important when performing large scale calculations, such as full-chip OPC decoration. Second, the key assumptions in the Kirchhoff boundary condition are that the thickness of the features on the mask is very small compared with the wavelength, and that the widths of the features on the mask are very large compared with the wavelength. Until recently, both of these assumptions have been true for binary reticles. However, the shift to 193nm wavelength makes the chrome thickness (or MoSi thickness) relatively larger. Also, the widths of the features on the mask for the 6nm node are relatively smaller as well. While the main features would be 26nm on the x reticle, a scattering bar is typically one-quarter to one-third of the size of the main feature, so this feature would be 6nm to 8nm on a x reticle, which is less than one-half of the wavelength. The purpose of this paper is to investigate the impact of mask topography on binary reticles at the 6 nm node by performing a detailed comparison of the results predicted by the Kirchhoff approximation with the results calculated by solution to the Maxwell equations. 2 METHODOLOGY We performed simulations of chrome on glass reticles with simple line-space patterns. PROLITH v8.1.1 with the Mask Topography option was used for all calculations. We simulated an ArF imaging tool with a partial coherence of. and a numerical aperture of.8. For calculations with the full Maxwell equations, we made the following assumptions about the topography and optical properties of the mask: The chrome features on the mask are a homogeneous material with * mark.d.smith@kla-tencor.com; phone 1-12-231-212; 883 North Capital of Texas Highway, Suite 31, Austin, TX 7879

.7.6. Intensity..3.2.1 Kirchhoff Maxwell -1-1 Position (nm) Figure 1: Comparison of the aerial image calculated with the Kirchhoff approximation and the full Maxwell equations for a 7nm line on a 2nm pitch. optical properties of n = 1. + 3.26i, with a nominal thickness of 1nm, and with straight, vertical sidewalls. The quartz substrate has optical properties of n = 1.7. (Note that the actual chrome absorber used in modern masks is much more complex than a simple homogeneous layer, but making this assumption is convenient and should yield representative results.) Calculated aerial images for 7nm lines on a 2nm pitch are shown in Figure 1 for both the Kirchhoff approximation and the solution of the full Maxwell equations. As shown in the figure, the difference between the two images is an offset in the intensity that causes the aerial image CD for the Maxwell calculations to be larger than the CD calculated with the Kirchhoff approximation. Shown in Figure 2 is the aerial image CD versus pitch for 7nm lines calculated with the Kirchhoff approximation and the full Maxwell equations the difference between the CDs is more than 2nm! The reason for such a large difference is shown in Figure 3, where we plot the MEEF for this feature as a function of pitch. The MEEF is greater than 3 for all pitch values, so an error at the mask will be greatly amplified. If we view the error in the Kirchhoff approximation as an error in the effective mask CD, then we can convert the aerial image CD differences to a difference at the mask by dividing by the MEEF. This result is also shown in Figure 3, and we see that the effective error at the mask is around 7 to 8nm through pitch.

Aerial Image CD (nm) 9 8 7 6 3 2 1 2 6 8 1 Pitch (nm) Kirchhoff Maxwell Delta Figure 2: Aerial image CDs for 7nm lines through pitch for calculations with the Kirchhoff approximation and with the full Maxwell equations. The difference between the Kirchhoff and Maxwell CDs is plotted as Delta. 3 3 2 2 1 1 Delta (Maxwell - Kirchhoff) Delta (nm) 3 3 2 2 1 1 2 6 8 1 Pitch (nm) Delta Delta/MEEF MEEF Figure 3: MEEF for 7nm lines through pitch as calculated with the Kirchhoff approximation. Also shown is the Delta between the Kirchhoff and Maxwell CDs shown in Figure 2, as well as the Delta/MEEF, which is about 7 to 8nm through pitch.. 3. 3 2. 2 1. 1. MEEF

1 Bias (nm) 9 8 7 6 6 nm 8 nm 1 nm 12 nm 1 nm 16 nm 18 nm 2 nm 2 6 8 1 Pitch (nm) Figure : The bias applied to the Kirchhoff mask to match the prediction from the full Maxwell equations (aerial image CD) for a variety of feature widths through pitch. 3 MASK BIAS DUE TO THE KIRCHHOFF APPROXIMATION The example in the previous section demonstrates the pitfalls of directly comparing aerial images calculated with the Kirchhoff approximation with those from a full Maxwell equations calculation. The difference between the predicted aerial image CDs may depend strongly on the optical settings for the imaging tool a large MEEF will not only amplify mask manufacturing errors, but also amplify errors due to the Kirchhoff approximation! For this reason, we take a different approach in the remaining sections of this paper: we calculate the bias at the mask that is required for the Kirchhoff result to match the Maxwell result. The bias will be reported in wafer dimensions. As shown in Figure 3, we expect this bias to be around 7 to 8nm the effective chrome edge appears wider for the Maxwell result compared with Kirchhoff. This approach has two main benefits. First, applying the bias at the mask allows us to sidestep the influence of the MEEF. Second, if we can quantify the bias between the Maxwell and Kirchhoff results, then it may be possible to apply the bias to fix Kirchhoff calculations in applications where the Maxwell approach is too computationally intensive, such as full chip OPC decoration. The needed bias for a variety of feature widths is shown in Figure as a function of pitch. On average, the bias is around 7 to 8nm, with a range of 3.3nm. As shown in the figure, the bias is both a function of pitch and feature width. We can use this information to attempt to improve the Kirchhoff results by applying a uniform bias of 8nm (nm of extra chrome per edge). Shown in Figure is an example of this approach for isolated lines and isolated spaces. The difference between the biased mask with the Kirchhoff approximation and the full Maxwell result is less than a few nanometers for all features except for small isolated spaces, where the differences become larger. One possible reason for this deviation is that for small spaces, the two chrome edges that form the space become close enough that they interact. At this point, the bias becomes dependent on the distance between adjacent edges. In general, we find that the bias approach is a useful correction, but if we need to predict the CD within a few nanometers, a global bias is not accurate enough. Solution to the full Maxwell equations is necessary because the required bias can vary with feature size and feature type. For small spaces, the error in the global bias approach is larger than the CD tolerances at the 6nm node.

Difference in Image CD (nm) 8 7 6 3 2 1-1 Isolated Line Isolated Space Kirchhoff with +8nm bias Normally Incident EMF Calculations -2 1 1 2 Nominal CD (nm) Figure : Comparison of the aerial image CDs calculated with the Kirchhoff approximation with an 8nm mask bias and the CDs calculated with solution to the full Maxwell equations. Results are shown for isolated lines and isolated spaces. We also tested the accuracy of the mask bias for predicting process windows. For these calculations, we used the Lumped Parameter Model in PROLITH with typical parameters. The required bias was extracted from the data in Figure. As shown in Figure 6, the agreement between the Kirchhoff with bias and the Maxwell is quite good. We also tried changing the illuminator type from partially coherent to Quasar in order to determine if the required bias would depend on illuminator type. As shown in Figure 7, the agreement is quite good with the bias calculated with the partially coherent source shape, so it appears that the bias is not illuminator-dependent, but more work is required. Next, we performed calculations where we varied the chrome thickness. The bias for a chrome thickness of 7nm is to 7nm, as shown in Figure 8. Comparison of Figures and 8 demonstrates that the bias decreases as the chrome thickness decreases. This trend is what is expected, as the Kirchhoff approximation requires an infinitely thin absorber, and this idealization is better met by the 7nm chrome thickness. Finally, we investigated the impact of polarization on the calculated bias. All of the calculations up to this point have been for unpolarized illumination. Shown in Figure 9 is the calculated bias for unpolarized, x-polarized, and y-polarized illumination on a y-oriented, isolated, 7nm line. As shown in the figure, the bias for x-polarized light is around 6nm, and the bias for y-polarized light is around 1nm. The results for unpolarized illumination are halfway between the two polarized results, with a bias of around 8nm.

Figure 6: Process windows for dense lines and spaces calculated with the Kirchhoff approximation, Kirchhoff approximation with bias, and the full Maxwell equations. Figure 7: Process windows with Quasar illumination for dense lines and spaces calculated with the Kirchhoff approximation, Kirchhoff approximation with bias, and the full Maxwell equations. The mask bias is the same value used for Figure 6, and was calculated with a partially coherent source shape with σ =..

1 Bias (nm) 9 8 7 6 6 nm 8 nm 1 nm 12 nm 1 nm 16 nm 18 nm 2 nm 2 6 8 1 Pitch (nm) Figure 8: The bias applied to the Kirchhoff mask to match the prediction from the full Maxwell equations for a variety of feature widths through pitch for a chrome thickness of 7nm. 12 1 8 Bias (nm) 6 2 Unpolarized X Polarized (P) Y Polarized (S) 1 1 2 2 Feature Width (nm) Figure 9: The bias applied to the Kirchhoff mask to match the prediction from the full Maxwell equations for a y- oriented isolated line for unpolarized, x-polarized, and y-polarized illumination.

NORMALLY INCIDENT APPROXIMATION One additional approximation that is commonly used when calculating the electromagnetic transmittance of the mask is to assume that the results are independent of the angle of the incident illumination. This is called the normally incident approximation because the diffraction pattern calculated for normally incident light is used for calculations with off-axis illumination. This approximation is almost always used with the Kirchhoff approximation because the mask is assumed to be infinitely thin, so any shadowing effects due to the interaction between the off-axis illumination and the finite height of the topography on the mask can be ignored. In this section, we solve the Maxwell equations for a variety of different incident illumination angles at the mask. Each of these calculations will generate a separate diffraction pattern for the mask, and then when we calculate the aerial image, the appropriate diffraction pattern will be used for each point on the illuminator. In PROLITH, this is called the range of angles mode of calculation. For the partially coherent illuminator used in this study (σ =.), the range of incident angles at the x mask is around +/-.7 o from the normal. A comparison of the normally incident approximation with the range of angles approach is shown in Figure 1, where results are presented for the isolated space calculations from the previous section. As shown in the figure, the range of angles approach does not have a dramatic impact on the results, other than to dampen some of the oscillations in the results for larger space widths. Difference in Image CD (nm) 9 8 7 6 3 2 1-1 -2 1 1 2 Nominal CD (nm) Normal Incidence Sigma =. Kirchhoff with +8nm bias Isolated Space Figure 1: Comparison of the normally incident approximation with the range of angles calculation approach for the isolated space (again, showing the difference between the Maxwell and Kirchhoff aerial images).

SUMMARY AND CONCLUSIONS The difference between the aerial images calculated with the Kirchhoff approximation and the full Maxwell equations can be approximated by a bias for chrome on glass reticles. We found that the bias was about 7 to 8nm for 1nm thick chrome, with a range of bias values of about 3.3nm for different line widths and pitches. For 7nm thick chrome, the bias was reduced to to 7nm. The calculated bias could be used to generate a more accurate Kirchhoff model for the mask where the average bias was globally applied to the chrome features. Good results with the Kirchhoff plus global bias model were obtained for isolated lines and process window calculations, but significant deviations were found for small, isolated spaces. The bias was found to be polarization-dependent, with a 1nm bias for p-polarization and a 6nm average bias for s-polarization. In general, the bias-type of Kichhoff model may work well in applications where solution to the full Maxwell equations is intractable. The accuracy of this approach can be estimated by the range of bias values that we calculated (3.3nm), so this approach may be insufficient in applications where we want to calculate the desired mask feature size to a tolerance lower than 3nm (wafer scale). In addition, the approach seems to be especially limited for the case of small isolated spaces. Further work is needed in this area in order to better understand the interactions between darkfield features that are comparable to the wavelength of light. One area that will likely require special attention is the contact layer, where four edges are in close proximity instead of the two edges that form an isolated space. Further work is also required on the impact of chrome thickness, more realistic chrome film stack optical properties, other optical scanner settings, and attenuated phase-shift materials.