Electrical Yield and Reliability Issues of Ultra High Density Interposers and Update on Advanced Integration Program at BRIDG

Similar documents
EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

A Temporary Bonding and Debonding Technology for TSV Fabrication

Hybrid Wafer Level Bonding for 3D IC

Passionately Innovating With Customers To Create A Connected World

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Alternative deposition solution for cost reduction of TSV integration

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

EE143 LAB. Professor N Cheung, U.C. Berkeley

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

Sensors and Metrology. Outline

Thin Wafer Handling Debonding Mechanisms

EV Group. Engineered Substrates for future compound semiconductor devices

SHRINK. STACK. INTEGRATE.

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Thin Wafer Handling Challenges and Emerging Solutions

An environment designed for success

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Film Deposition Part 1

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

Carbon Nanotubes in Interconnect Applications

F R A U N H O F E R I N

CVD: General considerations.

Lithography and Etching

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

MICROCHIP MANUFACTURING by S. Wolf

TCAD Modeling of Stress Impact on Performance and Reliability

Especial Bump Bonding Technique for Silicon Pixel Detectors

FEM Analysis on Mechanical Stress of 2.5D Package Interposers

IC Fabrication Technology

Model 2300XP PSL & Process-Particle Wafer Deposition System

Graphene devices and integration: A primer on challenges

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Fabrication Technology, Part I

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

Lecture 0: Introduction

Thermal aspects of 3D and 2.5D integration

Yield. Economics. Victor Ovchinnikov. Chapters 36, 37

The Removal of Nanoparticles from Nanotrenches Using Megasonics

SEMATECH Knowledge Series 2010

SUPPLEMENTARY MATERIALS FOR PHONON TRANSMISSION COEFFICIENTS AT SOLID INTERFACES

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Wet Clean Challenges for Various Applications

FOR SEMICONDUCTORS 2009 EDITION METROLOGY

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Supporting Information

1 INTRODUCTION 2 SAMPLE PREPARATIONS

MSN551 LITHOGRAPHY II

HSG-IMIT Application AG

XBC300 Gen2. Fully-automated debonder and Cleaner

Chapter 3 Basics Semiconductor Devices and Processing

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

What are Carbon Nanotubes? What are they good for? Why are we interested in them?

Si/GaAs heterostructures fabricated by direct wafer bonding

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

IIT Bombay joins India-CMS proposal

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

EE-612: Lecture 22: CMOS Process Steps

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Etching Capabilities at Harvard CNS. March 2008

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

Superconducting Through-Silicon Vias for Quantum Integrated Circuits

Nanocarbon Technology for Development of Innovative Devices

2.76/2.760 Multiscale Systems Design & Manufacturing

Institute for Electron Microscopy and Nanoanalysis Graz Centre for Electron Microscopy

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Graphene Pellicles. Richard van Rijn

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Photolithography 光刻 Part II: Photoresists

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

1

Microfabrication for MEMS: Part I

Supporting Information

TRENDS IN LEVENSDUURTESTEN VOOR MICRO-ELEKTRONICA PLOT CONFERENTIE

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O

Graphene Fundamentals and Emergent Applications

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Effect of Surface Contamination on Solid-State Bondability of Sn-Ag-Cu Bumps in Ambient Air

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Transparent Electrode Applications

Carrier Transport by Diffusion

Nanoholes for leak metrology

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

JOHN G. EKERDT RESEARCH FOCUS

EUV Lithography Towards Industrialization

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

per chip (approx) 1 SSI (Small Scale Integration) Up to 99

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design

True Room Temperature Bonding a novel process for the creation of health tech consumables ATB. ir. Richard Bijlard Technogation - Invenios

Drop Impact Reliability Test and Failure Analysis for Large Size High Density FOWLP Package on Package

some things to think about when doing evaporation liftoff of nanometer scale patterns 1/30/09

Direct-Write Deposition Utilizing a Focused Electron Beam

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Transcription:

Electrical Yield and Reliability Issues of Ultra High Density Interposers and Update on Advanced Integration Program at BRIDG Dr. John Allgair Dr. Amit Kumar BRIDG is a not-for-profit, industry-friendly public-private partnership for the manufacturing of advanced smart sensors and imagers - formerly known as

Overview NEOCITY and BRIDG overview Fab site and facility Equipment Roadmap Advanced System Integration Program Ultra High Density Interposer Project Next Steps 2

The Goal NEOCITY Purpose: Our purpose is to create a more financially sound and diverse economy in Central Florida by serving as a catalyst for creating high wage job opportunities for residents and the broader Southeast region of the United States. Our infrastructure is built to create this economy based around a highly versatile nanoscale microelectronics manufacturing and development center. We build and execute technology-specific roadmaps that align to our capital and operational expectations. As a not-for-profit, public-private partnership, and as good stewards of our region s investment, our single largest metric of success is the creation of jobs in the region. 3

BRIDG A New 200mm Wafer Fab in Florida 109,000 sq ft facility 26,500 sq ft, Class-100 CR 9,400 sq ft, Class-10K CR 10,000 sq ft, Lab Space Trust-Enabled, ITAR Nano-Electronics Fabrication Facility: Cleanrooms and Labs 4

Public Private Partnerships Infrastructure to Drive Concepts to Commercialization University/Lab Research Volume Manufacturing CONCEPT: + Creative ideas + Centers of Excellence + Novel techniques & innovative technologies + Fundamental research + Market Intelligence BRIDG INFRASTRUCTURE: + Accelerate high potential technologies into next generation products & systems + BRIDG and partners develop and provide commercialization infrastructure + Capability for proof of concept, custom development, pilot production + IP Protection, Funding Coordination + Small Business Enablement Concepts Market Driven Commercialization COMMERCIALIZATION: + Advanced Imagers, Sensors and Photonics + Heterogeneous System Integration + Advanced Materials for RF, Power and Sensing Applications + Emerging technologies, including ReRAM and direct patterning + Hardware based cybersecurity 5

Core Tool Installations Complete June 2018 200mm Tool Installation and Calibration 6

Equipment Overview Equipment Acquisitions Asher Trion Apollo III Batch Solvent Tool Bond Aligner / Mask Aligner SUSS MABA8 Gen4Pro Bond Alignment Metrology SUSS DSM8/200 Gen2 Bonder, Permanent SUSS XB8 Box Washer Flouroware HTC-810 CD SEM SEM5 Hitachi 9200 CMP AMAT Mirra Trak (Cu) CMP AMAT Mirra Trak (Oxide/W) Coater / Developer TEL ACT8 CVD HDP AMAT Centura CVD AMAT Centura (W) CVD Novellus C2 Sequel (SiN, SiO2) Deep Silicon Etch and Wafer Dicing SPTS Rapier Deep Silicon Etch and Wafer Thinning (Plasma) Tool Defect Inspection KLA 2139 - Bright Field Ellipsometer Film Thickness, Stress Measure KLA F5x Etch AMAT CENTURA (Metal Etch) Etch AMAT CENTURA (Oxide Etch) Etch AMAT CENTURA (Poly Etch) Evaporator Temescal UEFC-4900 (Au, Pt, Ti, Al, etc.) Furnaces Tel Alpha 8s (Anneal, Oxide, Nitride, Poly, Diffusion) Goniometer Rame-Hart Ion Implant Varian E500 (Medium Current) Laser Scribe Lumonics GSI Microscope Overlay Inspectrology Photoluminence/Defect Measure KLA Cadela CS920 PVD AMAT Endura (Cu, TaN, Al, TiN, RPC) Rapid Thermal Annealing (RTA) Manual Single Wafer Wet Processing SEMSYSCO Triton (Electroplating, Liftoff, Solvent Strip and Acid Clean) Stepper Nikon i-line i12 Wafer Scrubber OnTrak DSS2 Wet Bench JST (Acid, Base) Xceleprint XRD, XRR, XRT Panalytical X'PERT3MRD 4-Point Probe CDE Metal Oxide Chemical Vapor Deposition (MOCVD) Tool Future Equipment AFM Atomic Layer Deposition (ALD) Tool De-Bonder Fine Line Lithography 193nm / e-beam Hall Measurement Tool High Alignment Accuracy Pick and Place Tool Materials Coater Molecular Beam Epitaxy (MBE) Profiler Rapid Thermal Annealing (RTA) Automated SAM SEM with FIB Tape and Frame Temporary Bonder Transmission Electron Microscope (TEM) Vector Network Analyzer Wafer Grinder 7

BRIDG Technology Roadmap v0.7 8 Photonic Integration, X-fer Printing 3 rd Party CMOS Wafer-level Integration, 2.5D, 3D, Cu-Interconnect Silicon Photonics? Chemical, EO, IOT Sensors Imagers (THz, ROIC data), Photonic Systems, RDL, Interposers, Laser Integration MEMS Tool Installations PUF/ReRAM Inertial Nav Systems, 6 DOF, VR, AR, Acoustic, Pressure, Other PUF-based Post-Quantum Crypto Systems, Cybersecurity, BEOL CMOS Legend Integration In Process Planned Applications 2018 2019 2020 2021 2022

Overview NEOCITY and BRIDG overview Fab site and facility Equipment Roadmap Advanced System Integration Program Ultra High Density Interposer Project Next Steps 9

Advanced Packaging Industry Overview Focus Areas Source Yole 10

JA 001/2018.04.27 - DOES NOT CONTAIN EAR TECHNOLOGY OR ITAR CONTROLLED TECHNICAL DATA Advanced System Integration Program Provide solutions for size, weight and power reduction which address challenges faced by conventional scaling Improved performance Heterogeneous Integration (Si, III/V, Photonics) Ultra-High Density (Wide I/O - 10 6 ) Power Consumption and System Response Time Robust Operating Temperature Range (77K to 673K) Improved Form Factor Transform traditional sensing techniques allowing continuous monitoring and the ability to monitor more

Advanced System Integration Program Provide solutions for size, weight and power reduction which address challenges faced by conventional scaling Ultra High Density Interposer (UHDI) Project (Active since Jan 2016) Develop fabrication and assembly process for an order of magnitude beyond current state-of-the-art 2.5D/3D Integration Challenges: Bonding yield 10 6 I/O in 5 5 mm 2 area Wafer-to-wafer or chip-to-wafer alignment/placement accuracy 0.5 µm 1 µm post bond accuracies Multilayer routing capability 6-13 layers to escape 10 6 I/O on a 5 µm pitch with 60 nm L/S Large area nm lithography Interposer routing lies outside of the reticle field (80 80 mm 2 up to 120 120 mm 2 ) Through silicon via diameter and aspect ratio Warpage and stability of thin large area interposer JA 001/2018.04.27 - DOES NOT CONTAIN EAR TECHNOLOGY OR ITAR CONTROLLED TECHNICAL DATA

UHDI Electrical Test Vehicle Phase 1 The purpose of this test vehicle is to assess the ability of the DBI process to bond 1M I/O at 5 μm or smaller pitch using a wafer-to-wafer bonding process and to obtain data on the yield and reliability of the bonding process. 8 wafer bonded to 8 wafer, after thinning (not to scale) Test pad (Al/Ti or Al/TiN) Via chain 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Cross section showing metal layers, vias, and test pads (not to scale) Top view showing wafer diameters and stepped die

UHDI Electrical Test Vehicle Process Overview Bond Interface Single damascene, copper/silicon dioxide fabrication process w/1um thickness EVG Gemini bonder with Direct Bond Interconnect (DBI) process Low Temperature Oxide (LTO) & High Temperature Oxide (HTO) splits Sonix CSAM void/defect metrology TEL P-12XL probe station w/agilent 4073A series parametric tester 14

UHDI Electrical Test Vehicle LTO Results LTO chosen to improve CTE mismatch between Si and FusedSi Bonding attempts for LTO oxide were unsuccessful Pair 1: Si/Si, Large Void after final anneal Pair 2: Si/Si, de-bonding at CMP prior to stop on SOI Pair 3: Si/Si, Edge de-bonding after final anneal Pair 4: Si/FusedSi, pop out at CMP prior to stop on SOI Possible Cracks in the LTO oxide JA 001/2018.04.27 - DOES NOT CONTAIN EAR TECHNOLOGY OR ITAR CONTROLLED TECHNICAL DATA 15

UHDI ETV LTO vs. HTO SAM Results Scanning Acoustic Microscopy images indicate better bonding results for the high temperature oxide process High temperature oxide process is still compatible with FusedSi substrates Low Temperature Oxide SAM after final bond anneal High Temperature Oxide SAM after final bond anneal 16

UHDI ETV HTO 1M, 4-point Test Overview 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 4-point test: 2 current carrying (ma), 2 voltage (V) sensing pads Individual mean via resistance ~80 milli-ohms 17

UHDI ETV HTO 1M, 4-point Test Results 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 High Yield on 1M chains on wafer 11, ~87%! Impacted by via lithography defects, CMP tiling bridging Project yield impacted by oxide deposition, metal line density 18

UHDI ETV HTO Thermal Cycling Overview De-bonded LTO Send Ahead Wafer 7 HTO Pre Thermal Cycle De-bonded LTO Pre Thermal Cycle De-bonded LTO Post Thermal Cycle Wafer 7 HTO Post Thermal Cycle Thermal Cycle -65C to 200C 20mins, 6mins each zone 10, 50, 100 cycles LTO send ahead showed additional de-bonding, as expected Initial HTO showed no effects and electrical data stayed consistent 19

UHDI ETV HTO Summary The first results have demonstrated bond yields (defined as 1M electrically connected I/O per die) of 87% across the entire wafer. FIB cross-section images of the bonding interface after the top silicon has been etched away revealing the daisy chain circuitry and a void free bond across the dielectric and metal interfaces 20

Overview NEOCITY and BRIDG overview Fab site and facility Equipment Roadmap Advanced System Integration Program Ultra High Density Interposer Project Next Steps 21

Next Steps UHDI Multi-Layer Cu Routing Assessment of multi-layer routing/lithography schemes for connecting to Cu interconnect with a 4 um pitch and fanning out to a 40 120 um pitch Through substrate via fabrication feasibility to include via structures capped with at least one layer of dual damascene Cu interconnect for reliability studies Finite element modeling of Through Substrate Vias (TSV or TGV) to determine thermo-mechanical and electrical fidelity Integrated system deployment UHDI Bonding and Electrical Characterization New mask-set design focused on 1M I/O test structure with complete DRC after pattern fill Fabricate 200mm bonding test wafers for process development at BRIDG and at suppliers, including silicon and fused silica Bond integrity testing to improve quality, including bond strength, electrical and thermal reliability Aggressive shrink of 1M I/O test structure to drive further development JA 001/2018.04.27 - DOES NOT CONTAIN EAR TECHNOLOGY OR ITAR CONTROLLED TECHNICAL DATA 22

Thank you!!! Join Us!!! To Learn about our Membership and Industryfriendly Partnerships, contact