PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY

Similar documents
ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

193 nm STEP AND SCAN LITHOGRAPHY

A Reticle Correction Technique to Minimize Lens Distortion Effects

Photolithography II ( Part 1 )

The Waferstepper Challenge: Innovation and Reliability despite Complexity

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

EUV Lithography Towards Industrialization

High Optical Density Photomasks For Large Exposure Applications

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

EUV lithography industrialization for HVM

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Critical Dimension Uniformity using Reticle Inspection Tool

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Registration Error Terms: Grid: Wafer Terms and Field IFD

Chromeless Phase Lithography (CPL)

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Visual Test Light Scattering Reticle. Users Guide

Sensors and Metrology. Outline

Characterization of Optical Proximity Correction Features

Aerial image based lens metrology for wafer steppers

MEMS Metrology. Prof. Tianhong Cui ME 8254

custom reticle solutions

Performance Enhancement of 157 nm Newtonian Catadioptric Objectives

NSR-2205i14E (6" Reticle Type)

Actinic review of EUV masks: First results from the AIMS EUV system integration

Impact of Pellicle on Overlay in Double Patterning Lithography

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Defining quality standards for the analysis of solid samples

Instrumentation and Operation

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Machine Positioning Uncertainty with Laser Interferometer Feedback

Heating Beam Pattern Optical Design CO2 Laser Thermal Compensation Bench

Tutorial Software as Integrating Technology in Complex Systems

Phase-Referencing and the Atmosphere

David Martin High Precision Beamline Alignment at the ESRF IWAA, Grenoble 3-7 October 2016

Sensors and Metrology

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Homogeneity of optical glass

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Measurement method for the proficiency testing program

Ultrashort Pulse Laser Technology for Processing of Advanced Electronics Materials

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology

157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node

Open Access Repository eprint

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high?

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Upgrade of 5m-Bench System for Traceable Measurements of Tapes and Rules at SASO-NMCC Dimensional Laboratory

IEUVI Mask Technical Working Group

Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica

ORION NanoFab: An Overview of Applications. White Paper

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Chapter 2 Correlation Force Spectroscopy

Electric field enhancement in metallic and multilayer dielectric gratings

The TROPOMI Telescope

Introduction to Fourier Transform Infrared Spectroscopy

CUSTOM RETICLE SOLUTIONS

Zeeman Effect. Alex Povilus Physics 441- Fall 2003 December 20, 2003

CHAPTER 9 PERFORMANCE OF THE INTERFEROMETER

XBC300 Gen2. Fully-automated debonder and Cleaner

Electron beam scanning

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Transmission Electron Microscopy

Progress on ASML s EUV Alpha Demo Tool

Introduction to Fourier Transform Infrared Spectroscopy

Introduction to FT-IR Spectroscopy

Fine Alignment of the ATF Damping Ring

Lattice Cell/Girder Assembly

PRINCIPLES OF PHYSICAL OPTICS

LAB 10: OPTICAL MATERIALS AND DISPERSION I

PRISMATIC COVERS FOR BOOSTING THE EFFICIENCY OF HIGH-CONCENTRATION PV SYSTEMS

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Let us consider a typical Michelson interferometer, where a broadband source is used for illumination (Fig. 1a).

EUVL Readiness for High Volume Manufacturing

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Characterization of MEMS Devices

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Mixing in Colliding, Ultrasonically Levitated Drops

Controlled double-slit electron diffraction

SEMATECH 157nm Technical Review

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

MEMS Tuning-Fork Gyroscope Mid-Term Report Amanda Bristow Travis Barton Stephen Nary

Research Article Noncontact Measurement for Radius of Curvature of Unpolished Lens

PARTICLE SIZE ANALYTICAL RANGES AND APPLICABILITY. m mm (10-6 m) nm (10-9 m)

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Supplementary Information

Appendix G. Thermal analysis of a piezo-actuated pointing mechanism. Paul Lardet (Sodern, France)

AN INSTRUMENT FOR THE MEASUREMENT OF ROAD SURFACE REFLECTION PROPERTIES

Dispersion and how to control it

GCA AS200 Job Preparation

Transcription:

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY G. de Zwart, M. van den Brink, R. George, D. Satriasaputra, J. Baselmans, H. Butler, J. van Schoot, J. de Klerk. This paper was first presented at the SPIE Symposium on Optical Microlithography, Santa Clara, March 1997.

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY G. de Zwart, M. van den Brink, R. George, D. Satriasaputra, J. Baselmans, H. Butler, J. van Schoot, J. de Klerk. Abstract To meet the high productivity standards, set by current top-end stepper systems, the use of excimer laser sources and high scanning speeds are essential. This paper reports on a new Step & Scan system capable of exposing 26 x 33 mm fields, using a 248 nm DUV-lens with a variable Numerical Aperture (NA) of.4 to.63. The system is equipped with an advanced AERIAL illuminator which allows the user to choose coherence and illumination modes on a job-by-job basis. The double telecentric lens is equipped with lens manipulators to allow on-site aberration control. Results are presented on dynamic image distortion, field flatness and dynamic imaging performance. Performance of the overlay accuracy and dose accuracy at high scanning speeds proves that Step & Scan technology is now developed to a level suitable for use in high volume sub.25 µm manufacturing. 1. INTRODUCTION Semiconductor manufacturers are currently ramping up.25 micron design rules into full production. This is being driven by the need to develop competitive device performance and lower the manufacturing costs per device. Steppers, with resolutions of.25 µm, (and operating with DUV excimer laser sources), mixed and matched to i-line steppers for non-critical production for the most economic cost, will be responsible for almost all.25 micron production in the years to come. To increase the field size beyond 22 x 22 mm and to improve CD-control below.25 µm resolution, step and scan technology will play an increasing role in lithography. The first reduction scanner was introduced in 1989 on the basis of catadioptric lenses [1]. At that time, large field sizes were not a requirement and the productivity of early step and scan systems was lower than production steppers. The early step and scan systems did, however, prove the principle and were often used only for specific layers. The introduction of step and scan systems into general semiconductor manufacturing, for other than specialized jobs, requires that the systems can meet the challenging productivity benchmarks set by today s advanced stepper systems. techniques. However, such high power light sources can only be used if high scanning speeds can be maintained. This paper reports on a new Step & Scan system capable of exposing 26 x 33 mm fields using a variable Numerical Aperture (NA) of.63 to.4 and a 4X DUVlens. The double telecentric lens is equipped with lens manipulators for on-site aberration control. The Step & Scan stages are based on a new generation of advanced linear magnetic motors. A general overview of the system, including dynamic properties and performance data, metrology aspects, overlay performance results and imaging results are covered in this paper. Existing technology developed for advanced steppers, can be re-engineered to solve some step and scan issues [2]. High power pulsed excimer lasers, with dose control adapted for scanning, solves the illumination control problem and enables exceptionally short exposure times. Using the advanced AERIAL illuminator [3] allows the user to optimize the optical system imaging quality to meet the varying needs of individual process layers and advanced reticle Figure 1 PAS 55/5 Step & Scan System

2. SYSTEM DESCRIPTION One to one scanning exposure strategies were proposed by Markle [4] in 1984 and reduction scanning principles were described by Lin [5] in 1988. In a step and scan system, a small slit is used to illuminate the wafer, by moving the wafer and reticle synchronously. The PASx55/5 uses a scanning strategy where an effective lens field of 26 x 8 mm at wafer level is used to expose image fields up to 26 x 33 mm. of the disturbance forces must be kept to a minimum. Disturbance forces are mainly caused by couplings between the outside world and the chuck. To eliminate these forces, the PASx55/5 has a two-stage scanning motor design, in which there is no connection between the two stages (see Figure 3). The long stroke motor provides full 2 mm travel and the short stroke motor provides high speed, extremely accurate fine positioning over a limited correction range. The short stroke motor is a linear Lorentz motor, with only magnetic coupling between the coils mounted on the long stroke motor and the magnets mounted on the short stroke motor. Small translations of the long stroke motor (vibrations) do not cause any additional force on the short stroke motor, since the magnetic field is essentially uniform over short distances. Table Y1 I-1133.ILL X Y2 Magnets Top view Long-stroke motor Coils Figure 2 Schematic cross section of the PAS 55/5 Step & Scan System Short-stroke motor Side view Airfoot 2.1. System aspects Figure 3 Two-stage motor layout (reticle stage) To achieve the high accuracy required during scanning, more degrees of freedom are servo-controlled than in a stepper. In addition to the horizontal movements, the wafer and reticle must be kept in the focus plane of the lens (Figure 2). This is achieved by using separate levelling systems on the reticle and the wafer. In the PASx55/5 Step & Scan system, the scanning exposure starts when the wafer stage and reticle stage have accelerated to the correct velocity. This acceleration requires considerable force because of the high mass to be moved. Sensitive positioning measuring equipment, such as the interferometers, are supported on the vibration isolation system which disperses any vibrations. Other forces in the system are grounded via the frame. The horizontal motion of each stage must be very precise. It is influenced by two main forces; disturbance forces and those generated by the horizontal motors. Although compensated by proper servo control, the effect 1 2.2. Scan synchronisation To achieve accurate imaging, the reticle stage (RS) and wafer stage (WS) must be perfectly synchronized. These stages have different acceleration profiles and the wafer stage must make a step between scans. Therefore, high speed synchronization is an extremely challenging issue. To make an exposure, the stages have to move the length of the die plus the width of the slit (overscan). Total scan = die length + slit width During the exposure, each point on the die remains in the illumination slit for exactly the time required for correct exposure. The average position that a specific point of the image is exposed, is determined by the Moving Average (MA) over the slit width of the relative scanning error between the wafer stage and the reticle stage. This represents the real stage error and contributes to the error in overlay. The loss of contrast, or fading, is

determined by the Moving Standard Deviation (MSD) during the same time period. Figure 4 shows the relative error between the wafer stage and the reticle stage, at wafer level. The corresponding reticle stage acceleration profile (Figure 4a) arrives at its nominal speed followed by a short (22 ms) settling time (light grey area in Figure 4b). Indicated in Figure 4b is the peak raw position error. The time frame (Total scan ) is shown by the dark grey area. (m/s 2 ) (nm) 2 1-1 -2 1 5-5 (a) reticle stage acceleration profile (b) position error I-1134.ILL position. By injecting noise into the horizontal wafer stage and simultaneously observing the relative stage error using through-the-lens (TTL) alignment, the resulting error can be directly matched to the actual imaging error as can be seen in Figure 5. (nm) (nm) (nm) 2-2 2-2 difference TTL align and interferometer signal 2 TTL align signal interferometer signal -2 -.1.1.2.3.4 time (s) I-1136.ILL.5-1 1 (c) moving average Figure 5 Through The Lens (TTL) graphs 2.3. Wafer plane levelling (nm) 5-5 -1 1 (d) moving standard deviation The PASx55/5 uses a focus and levelling system which, in principle, is the same as the four point level and focus sensor system used in PASx55 steppers [6]. Broadband level sensing for process layers is achieved using large spots to integrate the measurements over the entire slit exposure area. The spot size is adapted to the image field of the slit. (nm) 5 Figure 4.5.1.15.2.25.3.35 time (s) RS acceleration profile and WS/RS differential position error at a wafer stage scan speed of 25 mm/s The areas marked in grey, in Figure 4(c,d) represent the die boundaries which differ from the scan boundaries by the amount of the overscan. The peak MA value (8 nm) in the die is below the specified overlay budgets. The peak MSD value is 22 nm. These effects lead to a CD uniformity loss of less than.5% at.22 µm (see APPENDIX A). These results are based on interferometer measurements of the wafer stage and reticle stage 2 (nm) (µrad) (µrad) 1 Figure 6-1 5-5 5 Z error Rx error Ry error -5.15.2.25.3.35.4 time (s) Wafer stage levelling performance at a wafer stage scan speed of 25 mm/s Measurements are taken dynamically at a high sample rate. This provides enough bandwidth for the level servo I-1147.ILL

control system to make quick and accurate corrections for height and tilts (Z, Rx and Ry). The grey areas in Figure 6 represent the total scan length (41 mm) and were taken at a wafer stage scan speed of 25 mm/s. The data is shown after the settling of the wafer stage (horizontal). The data is measured using untuned and unfiltered default servo settings. The remaining peak raw error in Z stays within 65 nm and 3 µrad peak tilt. 2.4. Reticle plane levelling To keep the reticle in focus, the reticle stage is continuously levelled for height and tilt (Z, Rx and Ry). A low profile chuck was developed to achieve high scanning performance (1 mm/s) at high acceleration. Three actuators are positioned under the granite stone over which the reticle chuck floats. The actuators are positioned so that the Z, Rx and Ry of the stone and the chuck can be controlled. Unlike wafer levelling, the position of the reticle chuck rather than the reticle is measured by four sensors mounted on top of the lens. Note that the reticle stage accuracy requirements are reduced by a factor of 16 in the height adjustment of the reticle, as the system uses a 4X projection lens. (nm) (µrad) (µrad) 2-2 5-5 5 Figure 7 Z error Rx error Ry error -5.5.1.15.2.25.3.35 time (s) Reticle stage levelling performance at a reticle stage scan speed of 1 mm/s Figure 7 presents typical results of the remaining error in Z, Rx and Ry during one scan (Total scan ). The remaining peak raw error stays below 15 nm which translates to less than 1 nm at the wafer level. Measurements were taken with a reticle stage speed of 1 mm/s. The grey shading denotes the Total scan length (41 mm). I-1137.ILL 2.5. Optical system and dose control The Step & Scan system uses a fourth generation Carl Zeiss DUV-lens in combination with an AERIAL illuminator (Figure 8). The Step & Scan lens was developed from the lens used in a PASx55/3 [7]. The main properties of the optical system are: - Double telecentric 4X lens, with a variable NA from.4 to.63, optimized and adjusted for slitimaging. - The laser source used is a 1 W, 1 khz,.8 pm bandwidth series 56 excimer laser which is custom built by Cymer Laser Technologies. - The beam delivery system and parts of the illuminator are purged with nitrogen for contamination free DUV-optics. - An automatic beam positioning control unit maintains the position and direction of the beam to control drift over time. - The illuminator is based on the AERIAL illuminator design in the PASx55/3. The main specifications of the PASx55/5 are given in Table 1. Parameters Resolution.22 µm Numerical Aperture (NA) Range X and Y Field size Conventional Sigma range (max NA) Annular Sigma range (max NA) Minimum ring width (Annular).4 to.63 Specifications Xmax = 26 mm Ymax = 33 mm.35 to.85 σ inner =.1 to.45 σ outer =.35 to.85.3 Integrated uniformity 1% (Conventional) Dynamic Distortion Overlay performance (single machine) Throughput at 3 mj/cm 2, 46 exposures 2 nm (Conventional) 45 nm 1.2% (Annular) 3 nm (Annular) 96 w.p.h. (2 mm) NOTE: conventional settings at NA =.57, σ =.75. annular settings at NA =.54, σ inner =.45, σ outer =.75 Table 1 PASx55/5 Specifications 3

Figure 8 Diagram of the PASx55/5 optical path and laser system The dose attained is determined by the following relationship: D = IssS V where (D) equals the dose in mj/cm 2, (Iss) equals the slit intensity in mw/cm 2, (S) equals the slit width in millimetres and (V) equals the wafer stage scan speed in millimetres per second. Intensity variations in the laser output (pulse to pulse variations) will result in dose variation over the exposure field of approximately 5% (Figure 9a). For the PASx55/5 a new dose control algorithm has been developed. The energy of each pulse is measured inside the scanner. The scanner dose control algorithm calculates the energy setpoint for the next pulse in such a way that the Moving Average (MA) of N pulses in the slit is maintained at the desired pulse energy level. This reduces dose variation to around.7% as can be seen in Figure 9b. Dose control using a sensor located in the scanner, downstream of any pulse transmission variability, solves two fundamental problems: (1) - The variation in pulse energy due to variability of transmission processes in the scanner is effectively compensated. - Since the same energy sensor is used both to control dose energy and to control the laser pulse output, drift and calibration problems arising in systems using two sensors (one in the scanner, one in the laser) are eliminated. For a pulsed laser source, each location in the die passing the illuminated slit, receives a discrete number of laser pulses, given by: N = Sf V For a maximum wafer stage scan speed (V) = 25 mm/s, slit width (S) = 8 mm and frequency (f) = 1 khz, the minimum number of pulses (N) equals 32. To ensure a correct dose over the whole exposure field, dose uniformity must be maintained for every set of pulses. A homogeneous distribution of the dose over the entire die requires the number of pulses given by equation (2) to be an integer number. (2) 4

To prevent these dose quantization effects, the illumination profile is changed from a rectangular intensity distribution to a trapezoidal shape. This reduces the effect of dose quantization down to a level of around.2% [8]. (% of average) 11 15 1 95 9 11 (a) pulse energy moving dose average by laser control discrete pulse energies moving average (b) pulse energy moving dose average by scanner control I-1148.ILL (%) (%) 12 1 8 6 4 2 12 1 8 6 4 intensity conventional setting intensity annular setting intensity theory intensity theory I-1138.ILL (% of average) 15.7% 95 Figure 9 discrete pulse energies moving average 9 25 5 75 1 pulse number Pulse-to-pulse energy stability before and after scanner dose control The effect of laser over-/undershoot at the start of a burst has been eliminated (Figure 9). This makes it possible to start exposing from the first pulse, which leads to a greater utilization of optical elements, coatings, and cavity life time and also reduces the number of gas fills required by the laser. The intensity profile was measured using the illuminator settings in Table 1. Figure 1 shows the good matching between the measured and theoretical profiles. Finally, the integrated uniformity was measured at wafer level over the slitwidth (S), for the two illuminator settings, by performing line scans using the minimum number of 32 pulses (Figure 11). As can be seen, the uniformity stays within ±.8% for both settings. 2 Figure 1 (%) (%) 2. 1.5 1..5. -.5-1. -1.5-2. 2. 1.5 1..5. -.5-1. -1.5-8 -6-4 -2 2 4 6 8 y(mm) Intensity profiles relative intensity conventional setting relative intensity annular setting -2. -15-1 -5 5 1 15 x (mm) I-114.ILL Figure 11 Integrated uniformity profiles 5

3. OVERLAY MEASUREMENTS 3.1. Metrology aspects The PASx55/5 Step & Scan system uses a similar coordinate system to that used in the PASx55 stepper family [9]. The 6-axis interferometry system for the wafer stage is augmented with the 3-axis interferometry system for the reticle stage. The coordinates are based on an interferometer model, which converts the interferometer read-outs to cartesian coordinates in such a way that when the stage is rotated (in Rx, Ry, Rz), no X,Y-position error is induced. This is important for correction of waferto-reticle-rotation and die-by-die-levelling effects. Corrections are made for: - Non-perpendicular interferometer reflection on the mirrors, - Cosine errors and Abbe errors, - Wavelength (as a function of temperature and pressure), - Positioning errors caused by interferometer delays and proportional with the stage velocities, - Mirror unflatness. During a global alignment, the stage rotation and the magnification lens manipulators are adjusted to obtain optimal rotation and magnification from the reticle level to the wafer level. This is the same method used in other PASx55 systems. The scan scale is adjusted by modifying the relative scan speed, while the scan skew is adjusted by modifying the relative scan direction. 3.2. Overlay Using the alignment system the reproducibility of the intrafield terms scan skew and scan scale were measured (Table 2 ). TTL alignment reproducibility is shown in Figure 12. Measurement scan skew α scan scale β Table 2 Skew and scale reproducibility 3σ.52 µrad.91 ppm Using the coordinate system, a number of functions are offered which align the wafer with respect to the reticle and calculate the corresponding coordinate transformation functions. Using global alignment, all coordinate transformations from a number of wafer and reticle marks are determined so that overlay errors between layers are minimized. To achieve this, for every reticle and wafer loaded on the stage the interfield terms (wafer) and intrafield terms (reticle) must be determined. In a stepper, the intrafield terms, reticle rotation, magnification and translation, and the interfield terms wafer rotation and magnification, are determined by a global alignment. Frequency 75 6 45 3 15 I-1142.ILL In a Step & Scan machine, the normal stepper global alignment is performed and additional alignment scans have to be done to determine the extra intrafield terms: scan skew and scan scale. This is performed by using three fiducial marks on the reticle stage and three marks on the reticle. The six marks allow greater freedom in alignment and a larger number of possibilities to optimize the 3D positioning of reticle and wafer to obtain minimum overlay errors. All the intrafield terms are calculated with the assumption that the reticle rotation in X is equal to the reticle rotation in Y, and the reticle magnification in X is equal to the reticle magnification in Y. The alignment scan itself is performed using the TTL phase grating alignment technique (used in PASx55 steppers). Figure 12-3 -2-1 1 2 3 Alignment error (nm) TTL alignment reproducibility (99.7% values dx, dy < 9 nm) The errors in the reproducibility of stage positioning, or stage overlay, are determined by exposing two fullfield overlaid layers without removing the wafer. This is done by exposing 12 fields on a coated wafer (with.215 µm OCG 895i resist), with an exposure energy of 1 mj/cm 2. The resulting vector distribution is shown in Figure 13. The 99.7% values for X and Y stage overlay errors were 12 nm and 23 nm, respectively. 6

Frequency 1 9 8 7 6 5 4 3 2 1 Figure 13 dx dy -1-8 -6-4 -2 2 4 6 8 1 Overlay error (nm) Stage overlay (26 x 33 mm field) 99.7% values, dx = 12 nm, dy = 23 nm I-1144.ILL the direction perpendicular to the scanning direction. In the direction of the scan, the relative scan speed and the relative scan direction are modified to correct for intrafield difference errors. An estimate of the residual lens difference errors can be obtained by comparing experimental data of ten PASx55/3 lenses (see APPENDIX B, Table B.2 ). Lens matching is calculated between these lenses in a stepper to stepper field matching situation and in a scanner to scanner field matching situation. This data is based upon an area of the lens equal in size to the slit in the PASx55/5. The vector distributions are plotted in Figure 15. The 99.7% values for overlay errors are 25 nm for X and Y for stepper to stepper field matching. For scanner to scanner field matching, the 99.7% values for overlay errors are 18 nm in X and 2 nm in Y. 3 25 Lens Matching (step & repeat) I-1149.ILL The single machine overlay was measured under the same experimental conditions (Figure 14). The 99.7% values for X and Y overlay errors were 19 nm and 23 nm, respectively. Frequency 2 15 1 ov_x ov_y ov_x ov_y Frequency 9 8 7 6 5 4 I-1146 5-25 -2-15 -1-5 5 1 15 2 25 overlay (nm) 7 Lens Matching (step & scan) 6 3 2 1 dx dy -1-8 -6-4 -2 2 4 6 8 1 Overlay error (nm) Frequency 5 4 3 2 ov_x ov_y ov_x ov_y Figure 14 Single machine overlay (26 x 33 mm field) 99.7% values, dx = 19 nm, dy = 23 nm The results are within the expected overlay budgets. 3.3. Matching In an optimally matched machine environment, the dominant matching errors are mainly determined by the residual non-adjustable intrafield lens difference errors. When matching scanners, lenses are adjusted to match in 1 Figure 15-25 -2-15 -1-5 5 1 15 2 25 overlay (nm) Lens matching calculations based upon experimental PASx55/3 lens data 7

AB matching experiments were performed with i-line steppers, DUV steppers and another Step & Scan system. The distortion difference plots are shown in Figure 16. The measured intrafield difference errors after correction are summarized in Table 3. 11 b I-1153B.ILL Intrafield difference errors for a PASx55/5 matched to a: 99.7% values X [nm] Y [nm] PASx55/2 i-line stepper < 44 < 46 PASx55/3 DUV stepper < 37 < 41 PASx55/5 Step & Scan < 35 < 46 22 x 22 mm field size (121 points in the field) 26 x 33 mm field size (28 points in the field) Nominal position Y (mm) Table 3 Experimental machine to machine overlay (after correction) -11 Note that the results shown in Figure 16 were carried out without performing any grid calibration. Due to the third order distortion lens manipulator, the contribution of lens matching for the PASx55/5 to PASx55/3 combination is reduced compared to the PASx55/5 to PASx55/2 combination. Matching performance of a Step & Scan system to another Step & Scan system is comparable to matching a PASx55/5 to a PASx55/3, but then on a full field (26 x 33 mm). 17-11 11 Nominal position X (mm) 5nm c I-1153C.ILL Nominal position Y (mm) 11-11 Figure 16 Distortion differences due to lens contributions a -11 11 Nominal position X (mm) 5nm I-1153A.ILL Nominal position Y (mm) -17 Figure 16-13 13 Nominal position X (mm) 25nm (cont d) Distortion differences due to lens contributions (a) PASx55/5 to PASx55/2 (22 x 22 mm field) (b) PASx55/5 to PASx55/3 (22 x 22 mm field) (c) PASx55/5 to PASx55/5 (26 x 33 mm field) 8

4. Imaging and lens performance 4.1. Image distortion and field flatness. Nominal position Y (mm) 17-17 17 a -13 13 Nominal position X (mm) 5nm b I-115A.ILL I-115B.ILL The system distortion was measured at 13 x 16 locations uniformly distributed within the 26 x 33 mm field. The measurement was performed by exposing 28 alignment targets several times on a wafer coated with i-line OCG895i resist with a thickness of.215 µm, and measuring them, after developing, using the scanner alignment system. The illuminator settings were normal conventional with.57 NA and σ =.75. The measured data was corrected for the possible dynamic adjustments (see APPENDIX B) with a least squares algorithm so that the scanned distortion was minimal. Figure 17(a) shows the measured field distortion of a PASx55/5 over the 26 x 33 mm field. The maximum errors are 17 nm in X direction and 21 nm in Y direction. Figure 17(b) shows the field distortion based on the measured static lens distortion over the slit field with computer simulation to scan this field over the whole scanned 26 x 33 mm field. The maximum distortion errors are then 7 nm in X and Y direction. The difference between the results of Figure 17(a) and Figure 17(b) lies in system tuning errors and additional metrology errors. These results show the potential of the PASx55/5 to achieve extremely low full field distortion errors, in the single figure nanometre region. Nominal position Y (mm) -17-13 13 Nominal position X (mm) 2nm Figure 17 (a) Non-correctable scanner distortion plot (b) Scanner distortion after averaging static lens contribution 9

Image field flatness was measured using the scanner alignment system [1]. Figure 18(a) shows the field flatness of the 26 x 33 mm field for conventional illuminator setting with a coherence setting of σ =.75 and.57 NA. The measurements for annular illuminator settings of σ inner =.45 and σ outer =.75 with a.54 NA can be seen in Figure 18(b). The results in Figure 18(a) and Figure 18(b) show that the image field flatness for the 26 x 33 mm field remains below 158 nm for the conventional setting, and below 191 nm for the annular setting. Note that the profiles for both settings are quite similar, showing clear averaging in the scan direction. 4.2. Imaging results The PASx55/5 imaging performance has been evaluated using APEX-E248 at.64 µm thickness. An RTC-layer of.25 µm is used and a post exposure bake at 9 C for 9 seconds. The resist was processed on a FSI Polaris wafer track. The system is purged with activated charcoal filtered air to prevent airborne base contamination. The time between coating, exposing and developing was kept to a minimum. SEM analysis was done with a Hitachi S-78 for top down automated CD measurements and a Philips XL-5 for tilted inspection and photographs of the resist profiles. BF_avg (nm) 1 5 a I-1151A.ILL Linearity was measured in the centre of the scanned field for horizontal and vertical structures with the best focus and best energy selected. The numerical aperture was.63 and.8/.4 widths for outer/inner partial coherences respectively. Figure 19 shows that acceptable linear behaviour down to at least.2 µm can be achieved. -5 16.45 11.75 7.5 2.35-2.35 Y (mm) -7.5 BF_avg (nm) 1-4.3-8.6-16.45-12.9-11.75 b 12.9 8.6 4.3 X (mm) I-1151B.ILL Figure 2 shows resist profiles of dense lines/spaces and Depth of Focus with resolutions of.22 µm to.16 µm. The illumination mode was annular for all evaluations in this section. At the selected apertures and ring widths, the depth of focus is 1.5 µm for.22 µm lines and spaces, reducing to.7 µm for.18 µm lines/spaces. The.16 µm lines/spaces were resolved horizontally and vertically. Note that the resist thickness/cd ratio used for these fine resolutions is far from optimal. Further evaluation is required to determine process windows using different settings of aperture and illumination. 5-5 16.45 11.75 7.5 2.35-2.35 Y (mm) -7.5-4.3-11.75-8.6-16.45-12.9 12.9 8.6 4.3 X (mm) Measured CD.42.38.34.3.26.22 Vert Hor I-1154.ILL Figure 18 Field flatness for conventional (a) and annular setting (b).18.18.22.26.3.34.38 Nominal CD Figure 19 Scanning linearity on APEX E-248 1

Towards the Lens 1.5 µm DoF Away from the lens.22 µm NA=.54 σ inner =.45 σ outer =.75 1. µm DoF.2 µm NA=.57 σ inner =.45 σ outer =.75.7 µm DoF.18 µm NA=.63 σ inner =.4 σ outer =.8 + Last good + Last good -.1 µm Centre -1Last good +.1 µm - Last good.16 µm NA=.63 σ inner =.4 σ outer =.8 Figure 2.16 µm Horizontal.16 µm Vertical SEM photos of resist profiles (Annular settings) 11

5. CONCLUSIONS In this paper a Step & Scan system with high stage speeds and a throughput greater than 96 2 mm wafers per hour is described. This Step & Scan system uses a high intensity excimer laser source with advanced scanner dose control. The system is based on very high NA refractive optics, already manufactured in high volumes. Very low distortion i-line and DUV Zeiss lenses and advanced matching optimization contribute to state of the art matching in a production environment. This system is suitable for volume production at.22 µm resolution, with a potential resolution of at least.18 µm with advanced resolution enhancement techniques. ACKNOWLEDGMENTS The authors would like to thank all involved in the PASx55/5 project, especially the integration project teams. Thanks to Peter van Oorschot and Chris de Mol and all their team members. Thanks also to the prototype tester personnel of the PASx55/5, without them, no system test could have been run. Special thanks also to Theo Modderman, Arie Scheiberlich, Hans Franken, Jan Bruining, Rob de Jong, Henry Megens, Hans van der Laan, Marco Moers, Irwan Setija, Koen van Ingen Schenau and Lex Straaijer for providing data and useful advice. For his outstanding support and excellent advice, special thanks to Jan-Willem Martens. Also we would like to thank David Reid for his assistance in the writing of this paper, Cecile van der Riet and Wilco Verhagen for the illustrations, and Lenette Woudstra for preparing the SPIE slides presentation. We would like to express our gratitude to Paul van Attekum and Steef Wittekoek for reviewing the paper and giving valuable comments. Thanks to Carl Zeiss, for their excellence in optical design and imaging quality. Finally, an acknowledgment for the European funding and project support from JESSI (GOLD). 12

REFERENCES [1] J. D. Buckley, C. Karatzas, Step and scan, a systems overview of a new lithography tool, SPIE Vol. 188, Optical/Laser Microlithography II, San Jose, March 1989, pp 424-433. [2] M. van den Brink et al. Step-and-scan and step-andrepeat, a technology comparison, SPIE Vol. 2726, Santa Clara, March 1996, pp 734-753. [3] J. Mulkens et al. High throughput wafer steppers with automatically adjustable conventional and annular illumination modes, Semicon/Japan, December 1995. [4] D. A. Markle, The future and potential of optical scanning systems, Solid State Technology, September 1984, pp 159-166. [5] B. Lin, The path to subhalf-micrometer optical lithography, SPIE vol 922, Santa Clara, March 1988, pg 256. [6] M. van den Brink et al., Overlay and field by field levelling in wafer steppers using an advanced metrology system, SPIE vol 1673, San Jose, March 1992, pp 33-344. [7] J. Stoeldraijer et al., A high throughput DUV wafer stepper with flexible illumination source, Semicon/Japan, December 1996. [8] D. H. Tracey, F. Y. Wu, Exposure dose control techniques for excimer laser lithography, SPIE Vol. 922, Optical/Laser Microlithography, Santa Clara, March 1988, pp 437-443. [9] M. van den Brink, New.54 aperture i-line wafer stepper with field-by-field levelling combined with global alignment. SPIE vol 1463, San Jose, March 1991, pp 79-724. [1] P. Dirksen, Latent image metrology for production of wafersteppers, SPIE Vol. 244, Santa Clara, March 1995, pp 71-711 [11] M. van den Brink, Matching performance for multiple wafer steppers using an advanced metrology procedure, SPIE, Santa Clara, March 1988. 13

APPENDIX A Effects of X,Y-fading on CD uniformity With the simulator SOLID-C 5.2 from SigmaC, simulations in resist were done to investigate the effects of noise in the lateral direction (X,Y-fading). To study the effects on image formation it is easiest to imagine an aerial image of a grating. In order to simulate the dynamic image quality, the static aerial image is convolved with the probability density function of the stage motion during exposure. This results in a contrast which is lower for the dynamic image than for the static image. The aerial image contrast as a function of Gaussian position noise is calculated (Figure A.1). A guideline can be made about the effect of noise by studying Figure A.1, however, the effects in resist remain unclear and further calculations are necessary to determine CD uniformity. Contrast (%).75.7.65.6.55 NA=.54, σ=.75-.45 best focus.4 µm defocus.5 1 2 3 4 5 Gaussian position noise, 1σ (nm) Figure A.1 Aerial image contrast of.22 µm dense lines/spaces The contrast must be at a predetermined level to resolve the grating in the resist. Simulations in APEX-E resist were performed. The simulation parameters used were: Resist thickness =.78 µm (maximum incoupling) Refractive index = 1.76 Development model: Weiss-5 PEB diffusion length = 3 nm. The additional CD Uniformity (over a.6 µm focus range) as a function of Gaussian position noise for dense lines/spaces and isolated lines is shown (see Figure A.2). X,Y-fading results in the loss of contrast in the aerial image. This causes the minimum resolved lines to be thicker than would be the case without fading. I-1152.ILL CD Uniformity (nm) Figure A.2 Additional CD Uniformity of.22 µm lines By overexposing the resist the thinnest lines will disappear. This was detected by an optical microscope. Using external vibrations, different noise levels were induced onto the wafer stage of a PASx55/3 stepper. Thin OCG 895 i-line resist with a.215 µm thickness is used. Using this thin resist reduces bulk-effects and the high absorbency of DUV-light reduces standing waves. At each noise level, a Focus Exposure Matrix (FEM) is exposed (centred on four times the energy-toclear, E ). The minimum resolved linewidth is determined by measuring these FEMs. Minimum resolved linewidth (µm) 14 12 1 8 6 4 2.31.3.29.28.27.26.25.24.23.22 NA=.54, σ=.75-.45 dense iso 1 2 3 4 5 6 Gaussian position noise, 1σ (nm) OCG895i@.215 µm actual simulation 2 4 6 8 Gaussian position noise, 1σ (nm) Figure A.3 Minimum resolved linewidth of the PASx55/3 (four times E exposure energy) The measurements match the simulated parabolic shape reasonably well (shown in Figure A.3). This experiment and the results can have practical applications in testing for the amount of X,Y fading. It will not determine the CD uniformity but can be used to make a reliable prediction based on the results obtained. I-1143.ILL I-1145.ILL 14

a) General APPENDIX B Scanner optimization methods The static imaging performance of a step and repeat system; uniformity, field flatness and distortion, can be influenced by several adjustments. The total static imaging performance is a sum of the static system residuals and all adjustments. du( x, y) = du res ( x, y) + a n f n ( x, y) n where, du du res (x,y) a n f n (x,y) (B.1) is the static lens performance. is the residual static lens performance. are the coordinates of the image field fixed with respect to the projection lens. is the amount of the static lens adjustment. is the static imaging function describing the impact of a single static lens adjustment. Equation (B.1) is a general imaging equation for a step and repeat system. In Table B.1 the static distortion equations are given for a step and repeat system. In the PASx55/5 Step & Scan system the image is projected through the projection lens over a rectangular field (field size X > field size Y) and scanned in the y- direction. Thus the lens contribution to the imaging within a step and scan system reduces towards only one direction. It can be found by averaging the static imaging equation in the y-direction, which leads to: du( x' ) du( x', y) dy y = ---------------------------------- = du Y res ( x' ) + A n F n ( x' ) n (B.2) where, du is the dynamic lens performance. du res is the residual dynamic lens performance. x is the coordinate of the image fixed with respect to the wafer. A n is the amount of the dynamic lens adjustment. F n (x ) is the dynamic imaging function describing the impact of a single dynamic lens adjustment. Y is a normalization factor In the equations (B.1) and (B.2), different notations are used for the system residuals (du res (x,y) and du res (x )), the adjustments (a n and A n ) and functions belonging to the adjustments (f n (x,y) and F n (x )). The dynamic residuals are not equal to the average of the static residuals, since both are determined by optimizing different equations. The average is important in determining the final distortion. The variance calculated in (B.3) is important in calculating the image contrast loss. For the distortion and field flatness this variance will lead to loss of contrast. The functions du(x',y') and du(x') are summations of functions ((B.1) and (B.2)). Equation (B.3) must not be written as a sum of functions because of the squared operation. Next to these static contributions, mechanical adjustments also enter into the dynamic imaging equation. These mechanical adjustments can be described as a function of time. where, dv is the mechanical performance. b m is the amount of the mechanical adjustment. y is the position in the die with respect to time. g m (x,y ) is the dynamic imaging function describing the impact of a single mechanical adjustment. The mechanical adjustments will lead to an average (similar to equation (B.2)). where, dv du( x' ) dv( x', y' ) = b m m dv ( x', y' ) [ du( x', y) du( x' )] 2 dy y = ----------------------------------------------------------- Y 2 g m( x', y' ) dv( x', y' y) dy is the dynamic mechanical performance. (B.3) (B.4) y = ------------------------------------------- = b Y G m m( x', y' ) m (B.5) Equation (B.4) contains no residuals, equation (B.4) and (B.5) contain the same values for the mechanical adjustments. In other words, the dynamic adjustments can only be measured dynamically. The dynamic distortion functions for the PASx55/5 are shown in Table B.1. 15

Within a Step & Scan system, the total imaging equation equals a sum of static and dynamic contributions. dt ( x', y' ) = du( x' ) + dv ( x', y' ) where, dt is the total scanner performance. and the variance (equation (B.3)) needs to be rewritten: dt ( x', y' ) = [ du( x', y) + dv( x', y' y) du( x' ) dv ( x', y' )] 2 dy Adjustment Step and Repeat b) The scanning distortion model Step & Scan Translation in X 1 1 Translation in Y 1 1 Magnification M x y x Rotation R -y x x scan scale β - - y scan skew α - - -y Table B.1 Distortion functions (B.6) y = ----------------------------------------------------------------------------------------------------------------------------------- Y 2 (B.7) Scanner optimization can be done in three ways. The static lens performance can be optimized by fitting equation (B.1) to the measured static data. The dynamic performance can be optimized by fitting equation (B.6) to the measured (or calculated) dynamic data. The variance can be minimized by minimizing equation (B.7). In the next section this will be illustrated by considering a projection lens with anamorphism [11]. Lens anamorphism is a difference in magnification between two perpendicular axes: dx( x, y) = M a x + R a y dy( x, y) = M a y + R a x (B.8) where, dx and dy are the static lens distortions [nm] x and y are the field coordinates [mm] M a is the asymmetrical lens magnification [ppm] R a is the asymmetrical lens rotation [µrad] Within a step and repeat system, lens anamorphism is optimized by magnification and rotation. Equation (B.1) looks like: dx( x, y) = ( M + M a ) x + ( R a R) y dy( x, y) = ( M M a ) y + ( R a + R) x (B.9) The optimum values of magnification and rotation depend on the chosen layout. (M = R = when the layout is symmetric under rotations of 9 ). Figure B.1(a) illustrates the optimization of the static distortion when there is lens anamorphism. Within a step and scan system, the results of the lens anamorphism are different from the stepper results, due to the y direction averaging. The scanned distortion (equation (B.6)) can be fully optimized. dx( x' ) dx( x' ) = ( M + M a ) x' M = dy ( x' ) dy ( x' ) = ( R a + R) x' R = M a α = R a β = (B.1) This will however have impact on the loss of contrast, (equation (B.7)): dx( x', y' ) = dy ( x', y' ) = [ 2 R a y α y' + α ( y' y) ] 2 dy -------------------------------------------------------------------------------------------- Y 2 = 2 Y 2 = Ra ----- α = 12 [ 2 M a y β y' + β ( y' y) ] 2 dy --------------------------------------------------------------------------------------------- Y 2 = 2 Y 2 = M a ----- β = 12 (B.11) Figure B.1(b) illustrates the optimization of the dynamic distortion when there is lens anamorphism. Another optimum can be found when minimal contrast is used. Minimizing equation (B.7) leads to: dx( x', y' ) ( R R a ) y α y = α = R R a dy ( x', y' ) ( M a M) y + β y = β = M M a (B.12) 16

But this leads to dynamic distortion (compare equation (B.13) with (B.9)): dx( x', y' ) = ( M + M a ) x' ( R R a ) y' dy ( x', y' ) = ( R a + R) x' + ( M M a ) y' Figure B.1(c) illustrates the optimization of the loss of contrast when there is lens anamorphism. c) Comparing ten PASx55/3 DUV lenses (B.13) As a second example, data of PASx55/3 lenses is taken. This data is first optimized at the rectangular field size used for scanning by use of the static distortion model. This returns the static distortion number (equals the maximum non correctable error). The same data is integrated and optimized by the dynamic distortion model for two different cases: - Minimal dynamic distortion (see (B.1) for example), - Minimal loss of contrast (see (B.12) for example). This returns the dynamic lens distortion contribution and variance for both methods. Table B.2 summarizes all these results. The following conclusions can be drawn based on Table B.2 : - The dynamic distortion value is generally about half of the static lens distortion when it is optimized for dynamic distortion. The loss of contrast, as a result of this optimization, is acceptable. - Optimization for contrast results in large dynamic distortion values which is not acceptable for good overlay. Lens nr. Static distortion optimization max (dx, dy) static max (dx, dy) dynamic max (dx, dy) dynamic Dynamic distortion optimization max ( <dx>, <dy>) MSD max (dx, dy) dynamic Dynamic contrast optimization max( <dx>, <dy>) MSD [nm] [nm] [nm] [nm] [nm] [nm] 1 19 9 6 6 3 3 2 16 11 1 6 18 4 3 2 13 12 7 3 6 4 12 6 5 8 14 5 5 25 8 9 5 2 4 6 16 9 9 5 15 4 7 23 7 4 8 31 5 8 25 13 16 4 22 2 9 25 13 16 4 22 2 1 16 12 14 4 22 3 average 2 1 1 6 22 4 1σ 5 3 4 2 6 1 Table B.2 Differences between dynamic and static distortion for different optimization strategies 17

The following adjustments have been applied in Figure B.1: Figure B.1a Figure B.1b Figure B.1c M = -.696 ppm M = -1 ppm M = ppm R = -.696 µrad R = -1 µrad R = µrad β = ppm β = ppm β = 1 ppm α = µrad α = µrad α = 1 µrad a Static field optimization b Dynamic distortion optimization c Dynamic contrast optimization 5 5 5 I-1155.ILL -5-5 -5-13 13 dx,dy scale = 1 nm -13 13 dx,dy scale = 1 nm -13 13 dx,dy scale = 3 nm 13 13 13-13 -13 13 dx s,dy s scale = 1 nm -13-13 13 dx s,dy s scale = 1 nm -13-13 13 dx s,dy s scale = 3 nm Figure B.1 Illustration of ways to optimize anamorphism. The projection lens contains 1 ppm asymmetrical magnification (Ma) and 1 µrad asymmetrical rotation (Ra) (Static field = 26 x 1 mm, Dynamic field = 26 x 26 mm). 18