Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review

Similar documents
Nanoparticle Contamination Control and Metrology for the EUVL Systems

Nanoparticle/AMC Contamination Control and Metrology for the EUVL Systems

Defects Panel Discussion

DMA Size-Selection and Electrostatic Deposition of Particle Size Standards Down to 10nm

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Experimental Study of Particle Deposition on Semiconductor Wafers

Mobility-Based Particle Size Classification and Detection down to 2nm Theory to Practice

A short pulsed laser cleaning system for EUVL tool

Model 2300XP PSL & Process-Particle Wafer Deposition System

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

ELECTROSTATIC CLASSIFIER MODEL 3082

Recent Advances and Challenges in Nanoparticle Monitoring for the Semiconductor Industry. December 12, 2013

ITRS, SEMI and ASTM Guidelines for Semiconductor Ultrapure Water (UPW) Production and the Consequences for UPW Particle Metrology

EUVL Readiness for High Volume Manufacturing

Analysis of carbon contamination on EUV mask using CSM/ ICS

Overview of EUV Lithography and EUV Optics Contamination

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Measurement of EUV scattering from Mo/Si multilayer mirrors

The Characterization of Nanoparticle Element Oxide Slurries Used in Chemical-Mechanical Planarization by Single Particle ICP-MS

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Introduction to Photolithography

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

The Removal of Nanoparticles from Nanotrenches Using Megasonics

Chapter 5: Nanoparticle Production from Cathode Sputtering. in High-Pressure Microhollow Cathode and Arc Discharges

Calibration checks of particle counter using primary and other techniques at the laboratory level

E152 Standard Revision: EUV-pod Reticle Carrier

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Actinic review of EUV masks: First results from the AIMS EUV system integration

Figure 2. Size distribution and concentration of a cocktail of PSL particles measured by three different sizing instruments.

A Study to Measure the Chemical Characteristics of Particle Emissions from Biomass Burning Stoves

Vapor-Phase Cutting of Carbon Nanotubes Using a Nanomanipulator Platform

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Effects of Size, Humidity, and Aging on Particle Removal

SCALING OF THE ADHESION BETWEEN PARTICLES AND SURFACES FROM MICRON-SCALE TO THE NANOMETER SCALE FOR PHOTOMASK CLEANING APPLICATIONS

Supplementary Materials for

Jianfei Peng et al. Correspondence to: Jianfei Peng Min Hu and Renyi Zhang

Analysis of Data from the 2009 SOOT Experiment

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Tilted ion implantation as a cost-efficient sublithographic

P R O D U C T. he Model 3800 Aerosol Time-of-Flight Mass Spectrometer. Determines size and chemical composition of single particles

Characterization of particle contamination in process steps during plasma-enhanced chemical vapor deposition operation

Airborne Molecular Contamination Control Technology in the Semiconductor Industry

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Acoustic Streaming Effects in Megasonic Cleaning of EUV Photomasks: A continuum model.

Section 3: Etching. Jaeger Chapter 2 Reader

EUV Lithography Status and Key Challenges for HVM Implementation

Fundamentals of Particle Counting

ASML Approach to Euv Reticle Handling

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Critical Dimension Uniformity using Reticle Inspection Tool

We published the text from the next page.

Optical Proximity Correction

Fundamentals of Mass Spectrometry. Fundamentals of Mass Spectrometry. Learning Objective. Proteomics

CENG 501 Examination Problem: Estimation of Viscosity with a Falling - Cylinder Viscometer

XPS & Scanning Auger Principles & Examples

The study for image placement repeatability of EUV mask on the flat chuck

Rapid Measurements of Aerosol Size Distributions Using a Fast Integrated Mobility Spectrometer (FIMS)

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Forming Gradient Multilayer (GML) Nano Films for Photovoltaic and Energy Storage Applications

PARTICLE CONTROL AT 100 nm NODE STATUS WORKSHOP: PARTICLES IN PLASMAS

UC San Diego EUV Lithography Group Progress Report

6.5 Optical-Coating-Deposition Technologies

IEUVI Mask Technical Working Group

PHOTOELECTRON SPECTROSCOPY IN AIR (PESA)

Aspects of Single Wafer Cleans Processing and Tools

Scanning Tunneling Microscopy

CHAPTER A2 LASER DESORPTION IONIZATION AND MALDI

Overview of the Particle Size Magnifier (PSM)

VACUUM SUPPORT FOR A LARGE INTERFEROMETRIC REFERENCE SURFACE

COPLEY S C I E N T I F I C. A multi-function aerosol system with aerosol generation, classification and monitoring capabilities for:

Extrel Application Note

Effective testing for wafer reject minimization by terahertz analysis and sub-surface imaging

Development status of back-end process for UV-NIL template fabrication

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Micro Chemical Vapor Deposition System: Design and Verification

Secondary ion mass spectrometry (SIMS)

Measurement of the role of secondary electrons in EUV resist exposures

Current development status of Shin-Etsu EUV pellicle

Lecture 16 Light transmission and optical detectors

Generation and Evaluation of Monodisperse Sodium Chloride and Oleic Acid Nanoparticles

TRANSVERSE SPIN TRANSPORT IN GRAPHENE

EUVL for HVM: Progress Update

Modelling of temperature profiles in Nd:YAG laser annealed GaAs/AlGaAs quantum well microstructures

NANO AND MICROSCALE PARTICLE REMOVAL

Modeling of Ion Energy Distribution Using Time-Series Neural Network

EUV Lithography Towards Industrialization

Final report on DOE project number DE-FG07-99ID High Pressure Xenon Gamma-Ray Spectrometers for Field Use

Copyright 1996, by the author(s). All rights reserved.

Carbon Nanotube Thin-Films & Nanoparticle Assembly

ETCHING Chapter 10. Mask. Photoresist

FINAL REPORT. DOE Grant DE-FG03-87ER13727

KEYWORDS plasma enhanced chemical vapor deposition, dusty plasma, particulate contamination, film growth rate

Transcription:

Nanoparticle Contamination Control in EUVL Systems: Carrier, Scanner and Metrology -- A Review David Y. H. Pui Distinguished McKnight University Professor LM Fingerson/TSI Inc Chair in Mechanical Engineering Director of the Particle Technology Laboratory University of Minnesota 1

Outline Protection Schemes for EUVL Masks Carriers at Atmospheric Pressure Scanners at below 100 mtorr Nanoparticle Metrology and AMC Issues Standardization of Nanoparticles Mask Deposition and AMC Issues 2

Background and Motivation Conventional Optical Lithography Light Source Extreme Ultraviolet Lithography Conductive Layer for Electrostatic Chucking Low Thermal Expansion Substrate Mo/Si Multilayers Fused Silica Substrate Capping Layer Pellicle 13.4 nm EUV Light Absorber Layer Buffer Layer Pellicles are unavailable for protecting the EUVL masks due to high absorption of EUV beam in most solid materials EUVL masks need to be protected against all particles > about 20 nm 3

Mask inside a carrier or scanner Protection Schemes The Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles might deposit on the mask during each operation. We need to investigate a broad range of protection schemes. Cover plate to reduce risk volume Critical surface upside down to avoid gravitational settling (Cover plate underneath mask during shipping, storage, and pump down) Electric field to make use of electrophoresis Thermal gradient to make use of thermophoresis Cover Plate Particle Trap E Mask Mask - T Critical Surface Particle Trap Particle trap surrounding mask to avoid particle penetration from the side Carrier or scanner Asbach et al., Journal of Nanoparticle Research 8:705-708 (2006) 4

Refereed Journal Papers Published under Intel Support 1. Asbach, C., Kim, J. H., Yook, S. J., Pui, D. Y. H., and Fissan H. (2005) Modeling of protection schemes for critical surfaces under low pressure conditions: comparison of analytical and numerical approach. Journal of Vacuum Science & Technology B 23(6): 2419-2426. 2. Asbach, C., Kim, J. H., Yook, S. J., Pui, D. Y. H., and Fissan H. (2005) Analytical modeling of particle stopping distance at low pressure to evaluate protection schemes for EUVL masks. Applied Physics Letters 87: 234111. 3. Asbach, C., Fissan, H., Kim, J. H., Yook, S. J., and Pui, D. Y. H. (2006) Technical Note: Concepts for protection of EUVL masks from particle contamination and approaches for modeling and experimental verification. J. Nanoparticle Research, 8, 705 708. 4. Kim, J. H., Asbach, C., Yook, S. J., Fissan H., Orvek, K., Ramamoorthy, A., Yan, P. Y., and Pui, D. Y. H. (2005) Protection schemes for critical surfaces in vacuum environment. J. Vacuum Science & Technology A 23(5):1319-1324. 5. Kim, J. H., Fissan, H., Asbach, C., Yook, S. J., and Pui, D. Y. H. (2006) Speed controlled particle injection under vacuum conditions. J. Vacuum Science & Technology A, 24(2): 229-234. 6. Kim, J. H., Fissan, H., Asbach, C., Yook, S. J., Orvek, K., and Pui, D. Y. H. (2006) Investigation of thermophoretic protection with speed-controlled particles at 100, 50, and 25 mtorr. J. Vacuum Science & Technology B, 24(3): 1178-1184. 7. Kim, J. H., Fissan, H., Asbach, C., Yook, S. J., Wang, J., Pui, D. Y. H., and Orvek, K. J. (2006) Effect of reverse flow by differential pressure on the protection of critical surfaces against particle contamination. Journal of Vacuum Science & Technology B 24(4):1844-1849. 8. Asbach, C., Fissan, H., Kim, J. H., Yook, S. J., and Pui, D. Y. H. (2007) A Simple theoretical approach to estimate the effect of gravity and thermophoresis on the diffusional nanoparticle contamination under low pressure conditions, J. Vac. Sci. & Technol. B, 25(1): 47 53. 9. Yook, S. J., H. Fissan, C. Asbach, J. H. Kim, J. Wang, P. Y. Yan, and D. Y. H. Pui, (2007) Evaluation of protection schemes for extreme ultraviolet lithography (EUVL) masks against top down aerosol flow, J. Aerosol Sci. 38, 211 227, Feb. 2007. 5

10. Engelke, T., T. van der Zwaag, C. Asbach, H. Fissan, J. H. Kim, S. J. Yook, and D. Y. H. Pui, (2007) Numerical Evaluation of Protection Schemes for EUVL Masks in Carrier Systems Against Horizontal Aerosol Flow, J. Electrochem. Soc. 154, H170 H176. 11. Yook, S. J., Fissan, H., Asbach, C., Kim, J. H., van der Zwaag, T., Engelke, T., Yan, P. Y., and Pui, D. Y. H. (2007) Experimental investigations on protection schemes for extreme ultraviolet lithography (EUVL) mask carrier systems against horizontal aerosol flow, IEEE Transactions on Semiconductor Manufacturing 20(2):176-186. 12. Yook, S. J., Fissan, H., Kim, J. H., van der Zwaag, T., Engelke, T., Asbach, C., Eschbach, F., Wang, J., and Pui, D. Y. H, (2008) Controlled Deposition of SiO2 Nanoparticles of NIST- Traceable Particle Sizes for Mask Surface Inspection System Characterization, IEEE Trans. Semiconduct. Manuf. 21(2):238-243. 13. Yook, S.J., H. Fissan, C. Asbach, J. H. Kim, D. D. Dutcher, P. Y. Yan, and D. Y. H. Pui, (2007) Experimental investigations on particle contamination of masks without protective pellicles during vibration or shipping of mask carriers, IEEE Trans. Semicond. Manuf. 20(4):578-584. 14. Yook, S. J. H. Fissan, T. Engelke, C. Asbach, T. van der Zwaag, J. H. Kim, J. Wang, and D. Y. H. Pui (2008) Methods to deposit nanoparticles of NIST-traceable sizes on a mask or a wafer surface for characterizing surface inspection tools, J. Aerosol Sci. (in press) 15. Asbach, C., Stahlmecke, B., Fissan, H., Kuhlbusch, T., Wang, J. and Pui, D. Y.H. (2008) Analytical-statistical model to approximate diffusional nanoparticle deposition on inverted surfaces at low pressure, Applied Physics Letters 92(6):064107. 16. Wang, J., Pui, D. Y.H., Qi, C., Yook, S.J., Fissan, H., Ultanir, E. and Liang, T. (2008) Controlled Deposition of NIST-traceble Nanoparticles as Additional Size Standards for Photomask Applications, Proc. of SPIE Vol. 6922:69220G. 6

Outline Protection Schemes for EUVL Masks Carriers at Atmospheric Pressure Scanners at below 100 mtorr Nanoparticle Metrology and AMC Issues Standardization of Nanoparticles Mask Deposition and AMC Issues 7

Mask Blank Effect of Secondary Packaging Witness Wafer Vibration Table With Secondary Packaging Without Secondary Packaging Controlled Vibration ISTA Procedure 1G at 1.15 G rms Vertical position Particle detection on 4 wafers Witness Wafer Scan (Deposited Particles) This work was done in cooperation with Entegris. Secondary packaging is helpful in reducing particle generation

Particle Detection Methods Mask Pin Surface particle detection - Mask Scan: Lasertec M1350 - Wafer Scan: PMS SAS 3600 XP Mask Carrier Mask Blank 4 Wafer Airborne particle detection - Laser particle counter (HS-LAS) for size distribution - Aerosol Time-of Flight Mass Spectrometer (ATOFMS) for particle chemical composition Mask Carrier Mask Blank Sampling Tube (I.D. 1.5 mm) 5 mm Hole 9

ATOFMS (Aerosol Time-of-Flight Mass Spectrometers) Particle Inlet start 0 stop 300 µs 200 100 Particle Sizing + - + - Particle Composition Intensity Intensity m / z t 2 m / z t 2 10 D. Gross, Carleton College, Based on TSI, Inc. schematic.

Particle Source Identification ATOFMS Mask Scan Complex organic compound or mixture Possibly polymer Yook et al., IEEE Trans. Semi. Manu. 20(2): 176-186 (2007). Contact points between the mask surface and pins Particles come mostly from contact points between mask surface and pins 11

Validation of Pozzetta Carrier Design on Particle Generation during Real Shipping PZT600-51125 Mask Scan Mask Pin PZT600-69925 Mask Standoff The pin-support generates considerable particles during shipping. The standoff-support generates almost no particles. 12

Study of Various Protection Schemes inside a Carrier PF = Number of injected particles into the chamber Number of deposited particles on the wafer Chamber Cover Top Injection Absolute Protection PF No Protection Yook et al., J. Aerosol Sci. 38:211-227 (2007). No particle deposition with face-down mounting and a cover plate 13

Effect of Cover Plate Protection (d p = 10 nm) Particle Injection Critical Surface Chamber Cover Plate Particle Trap No particle deposition on the critical surface down to d p = 10 nm 14

Outline Protection Schemes for EUVL Masks Carriers at Atmospheric Pressure Scanners at below 100 mtorr Nanoparticle Metrology and AMC Issues Standardization of Nanoparticles Mask Deposition and AMC Issues 15

Modeling Situation Thermophoretic Stopping Coulomb Drag Gravity Distance Force Force Critical Surface T 1 quiescent gas E ρ m p v p t () t = Fi x i x s + ρ v v ρ i p ρ ρ () t = f Fν, vi ν T F ρ E F ρ G F ρ D F ρ T T 0 < T 1 Asbach et al. Applied Physics Letters 87:234111 (2005) 16

Required Initial Velocities to Travel 1 cm and 10 cm at 50 mtorr Required Initial Velocity [m/s] 1000 100 10 1 Argon T = 10 K/cm E = 100 V/cm gravity + drag electrophoresis + gravity + drag thermophoresis + gravity + drag 10 100 1000 Particle Diameter d p [nm] 1 cm 10 cm 10 cm distance (near chamber wall) 1 cm distance (near mask) Very unlikely that particles from 10 cm or further away reach mask. High speed particles: drag force effective. Thermophoresis adds very little protection for high speed particles. Later we show thermophoresis is effective for slow particles (diffusion driven). Particles close to mask are likely to be deposited. 17 Asbach et al. Applied Physics Letters 87:234111 (2005)

Experimental Setup Chamber Cover P2 Main Chamber v P = Q A P P 1 2 Turbo Axial jet injector Q: volumetric flow Pump Opposing jet injector Particle injection Pump P1 Kim, J.H. et al., J. Vacuum Sci. Technol. A24(2):229-234 (2005) 18

Thermophoresis Test Set Up No Gradient With Gradient T = 0 K/cm Quiescent Zone T = 10 K/cm Quiescent Zone Gas Flow Gas Flow Experimental cases: P: 100 mtorr, 50 mtorr T: d p : v i : 0 K/cm, -10 K/cm 125 nm, 220 nm (on wafers) 70 nm, 100 nm (on masks) below, at, or above critical speed Gap: 1, 2 or 3 cm Kim, J.H. et al., J. Vacuum Sci. Technol. B24(3):1178-1184 (2005) 19

Vacuum chamber 20

Thermophoresis at 100 mtorr, 3 cm Gap 100 mtorr d p = 125 nm d p = 220 nm v p = 31 m/s for 125 nm v p = 18 m/s for 220 nm No particles deposited as predicted by the analytical model. Kim, J.H. et al., J. Vacuum Sci. Technol. B24(3):1178-1184 (2005) 21

Thermophoresis at 100 mtorr, 1 cm Gap 100 mtorr v p = 31 m/s for 125 nm v p = 18 m/s for 220 nm d p = 125 nm d p = 220 nm Particles deposited as predicted. Evidence of bouncing and diffusion is seen. Kim, J.H. et al., J. Vacuum Sci. Technol. B24(3):1178-1184 (2005) 22

Thermophoresis at 100 mtorr, 2 cm Gap 100 mtorr v p = 31 m/s for 125 nm v p = 18 m/s for 220 nm d p = 125 nm d p = 220 nm Thermophoresis improves protection. Kim, J.H. et al., J. Vacuum Sci. Technol. B24(3):1178-1184 (2005) 23

Real Mask Deposition 50 mtorr, 70 nm, 0 K/cm Main Chamber p = 50 mtorr Flow rate = 0.075 lpm Particle C = 600 p/cc Testing time: 40 min Particle speed: 24.2 m/s Critical speed: 13.304 m/s Pre-scan Post-scan Particles deposited in confined area 70 nm particles mainly correspond to 10 pixel particles. About 1500 10-pixel particles are added. 24

Real Mask Deposition 50 mtorr, 70 nm, 0 K/cm Main Chamber p = 50 mtorr Flow rate = 0.075 lpm Particle C = 330 p/cc Testing time: 40 min Particle speed: 9.0 m/s Critical speed: 13.304 m/s Pre-scan Post-scan Enhanced diffusion obvious About 50 10-pixel particles are added near the center. These particles first stop then diffuse to the mask surface. Small particles show strong diffusion effect. 25

Simulations at 50 mtorr 125 nm, 1 cm Gap, v i = 6.5 m/s [m/s] - T = 0 K/cm - T = 10 K/cm Many particles deposited (some by diffusion) No particles deposited Thermophoresis overcomes diffusion. 26

Outline Protection Schemes for EUVL Masks Carriers at Atmospheric Pressure Scanners at below 100 mtorr Nanoparticle Metrology and AMC Issues Standardization of Nanoparticles Mask Deposition and AMC Issues 27

New NIST Nanoparticle Standards: 60 nm and 100 nm SRM 28

Nanometer Differential Mobility Analyzer (Nano-DMA) 29

Outline Protection Schemes for EUVL Masks Carriers at Atmospheric Pressure Scanners at below 100 mtorr Nanoparticle Metrology and AMC Issues Standardization of Nanoparticles Mask Deposition and AMC Issues 30

Haze Observed under Atmospheric and Vacuum Conditions 50nm SiO 2. Target deposition area: 1inch spot size at the center. Testing time: 2 min. (Atmospheric Pressure) 100 nm PSL particle. (Main Chamber p = 50 mtorr). Testing time: 1.5 hours 31

Airborne Molecular Contaminants (AMCs) Classification of AMCs Acids HF H 2 SO 4 HCl HNO 3 H 3 PO 4 HBr Condensable No Classes H 2 O 2 O 3 IPA Acetone Bases AMINE NH 3 NMP HMDS Dopants DOP DBP DEP Siloxanes BHT B 2 H 6 BF 3 AsH 3 TCEP TEP TPP SEMI Standard F21-95, 1996 32

High speed injection, 100 nm, 10 K/cm (Vacuum) Main Chamber p = 50 mtorr Flow rate = 0.075 lpm Particle C = 11000 p/cc Testing time: 50 min Particle speed: 11.3 m/s Critical speed: 10.722 m/s 100 nm particles mainly correspond to 20 pixel particles. About 500 20-pixel particles are added. 33

Controlled Particle Deposition on Mask Blanks Deposition Plan (~ 2000 particles) Detection of Particles on a Quartz Mask PSL # ~ 2010 PSL # ~ 2060 PSL # ~ 2000 PSL # ~ 1930 SiO2 # ~ 1950 SiO2 # ~ 1820 SiO2 # ~ 2060 SiO2 # ~ 2010 SiO2 # ~ 1980 Mask Scan Area (140 mm x 140 mm) Known material Known number of particles NIST-traceable particle size Controlled deposition spot size 34

Summary Experimental methods and models have been developed to evaluate protection schemes for masks in carrier or vacuum tools. New carriers with tapered standoff generates almost no particles during shipping. Face-down mounting and cover plate are very effective in protection. Thermophoresis is most helpful to protect against particles driven by diffusion. Method has been developed to deposit standard nanoparticles for inspection tool calibration. Method has been developed to avoid haze formation caused by AMC. 35

Acknowledgement Intel Corporation -- Kevin Orvek, Peiyang Yan and Ted Liang Sematech -- Long He H. Fissan, U. of Duisburg-Essen C. Asbach, T. van der Zwaag, T. Engelke, IUTA, Duisburg J. Wang, J.H. Kim, C. Qi, Post-docs S.J. Yook, Y. Liu, Ph.D. students 36