Sensors and Metrology. Outline

Similar documents
Sensors and Metrology

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

FOR SEMICONDUCTORS 2009 EDITION METROLOGY

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

MSN551 LITHOGRAPHY II

TECHNOLOGY ROADMAP METROLOGY 2013 EDITION FOR THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY

Electron-beam SAFIER process and its application for magnetic thin-film heads

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

Hybrid Wafer Level Bonding for 3D IC

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

MEMS Metrology. Prof. Tianhong Cui ME 8254

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Basic Laboratory. Materials Science and Engineering. Atomic Force Microscopy (AFM)

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Lecture 0: Introduction

Characterization of Optical Proximity Correction Features

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Lecture 16: Circuit Pitfalls

Overview of EUV Lithography and EUV Optics Contamination

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Instrumentation and Operation

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Advances in Back-side Via Etching of SiC for GaN Device Applications

Mass Spectrometry for Equipment, Process and Wafer State Sensing and Control

Semilab Technologies for 450mm Wafer Metrology

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Chromeless Phase Lithography (CPL)

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Fall 2003 EE290H Tentative Weekly Schedule

H 2 /Ar Plasma Interactions with a-c:h Surfaces: A Detailed Study of Modified Layer Formation and Erosion

Sealeze TM SSG515AT2D Static Dissipation Brush Performance in an Operational Environment

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Nano-Lithography. Edited by Stefan Landis

SOLID STATE PHYSICS PHY F341. Dr. Manjuladevi.V Associate Professor Department of Physics BITS Pilani

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Lecture 8. Photoresists and Non-optical Lithography

Lecture 14 Advanced Photolithography

FRAUNHOFER IISB STRUCTURE SIMULATION

EE-612: Lecture 22: CMOS Process Steps

Next Time on Parametric Yield. What Drives Worst Case Analysis?

Photolithography II ( Part 1 )

Integrated measuring system for MEMS

ETCHING Chapter 10. Mask. Photoresist

IC Fabrication Technology

A Class of Impedance Tomography based Sensors for Semiconductor Manufacturing

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

Technology Brief 9: Capacitive Sensors

Wafer-scale fabrication of graphene

Study of Block Copolymer Lithography using SCFT: New Patterns and Methodology

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

Lecture 15: Scaling & Economics

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

Next Time on Parametric Yield. What Drives Worst Case Analysis?

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Supporting Information

Digital Integrated Circuits A Design Perspective

SEMICONDUCTOR MEMORIES

(12) United States Patent (10) Patent No.: US 6,365,505 B1

Regents of the University of California

Enhanced Chamber Management and Fault Detection in Plasma Etch Processes via SEERS(Self Excited Electron Resonance Spectroscopy)

Lecture 16: Circuit Pitfalls

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

MICRO AND NANOPROCESSING TECHNOLOGIES

MICROCHIP MANUFACTURING by S. Wolf

Optical Proximity Correction

Etching: Basic Terminology

Scanning Tunneling Microscopy

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

DQN Positive Photoresist

Graphene films on silicon carbide (SiC) wafers supplied by Nitride Crystals, Inc.

There's Plenty of Room at the Bottom

Digital Integrated Circuits A Design Perspective. Semiconductor. Memories. Memories

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Performance Evaluation of Polymers for Bumping and Wafer Level Packaging

Introducing the RoVaCBE Flagship project: Roll-to-roll Vacuum-processed Carbon Based Electronics. Dr Hazel Assender, University of Oxford

EN2912C: Future Directions in Computing Lecture 08: Overview of Near-Term Emerging Computing Technologies

Fabrication Technology, Part I

Supplementary Figure 1 shows overall fabrication process and detailed illustrations are given

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

MODELING RELIABILITY IN COPPER/LOW-K INTERCONNECTS AND VARIABILITY IN CMOS

Critical Dimension Uniformity using Reticle Inspection Tool

Supplementary Methods A. Sample fabrication

Secondary Ion Mass Spectroscopy (SIMS)

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

Administrative Stuff

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

Analysis of carbon contamination on EUV mask using CSM/ ICS

In-situ Monitoring of Thin-Film Formation Processes by Spectroscopic Ellipsometry

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR

CS 152 Computer Architecture and Engineering

Transcription:

Sensors and Metrology A Survey 1 Outline General Issues & the SIA Roadmap Post-Process Sensing (SEM/AFM, placement) In-Process (or potential in-process) Sensors temperature (pyrometry, thermocouples, acoustic waves) pressure and flow (manometers, momentum devices) composition (OES, LIF, RGA, mass Spectroscopy Actinometry) thickness (reflectometry, ellipsometry, scatterometry) smart-dummy wafers and smart substrates 2

Introduction Sensors (and actuators) are key limiting factors in application of control techniques to semiconductor manufacturing sources of difficulty implementation environment (vacuum, clean facilities, etc.) perception that in-situ sensors affect process ex-situ sensors can reduce throughput cost of ownership traditional resistance in industry 3 General Remarks on Sensors modeling is often key part of sensing physical quantity of interest may not be directly measured (ex: OES indirectly contains info about etch process state) thus, sensors are based on a model of the underlying physical process sensors = model + data signal processing needed to reduce noise, improve bandwidth difference between data and information problems sensors require calibration must account for drift other issues - sensor fusion - data compression 4

Key Issues in Sensors some key tradeoffs non-invasive vs. invasive non-destructive vs. destructive in-situ vs. ex-situ speed vs. accuracy noise bias (accuracy) vs. precision (repeatability + reproducibility) a sensor could be inaccurate, (thermocouple readings are off by 4 o K) but the sensor might have good precision, (it is consistently off) precision is often more important for process control modern filtering and estimation methods can be of great use in improved sensing software. 5 2002 SIA Road Map Challenges CD AND LEFF CONTROL [FRONT END PROCESSES AND LITHOGRAPHY] The control of Critical Dimension (CD) etching especially at gate level has traditionally been one of the more difficult challenges. In recent years it has become common practice to use etch processes that result in a gate dimension (effective gate length: Leff) that is smaller than that printed in the resist. More complex etch processing must be executed while still maintaining the overall gate 3-sigma dimensional tolerance. In addition, the shape of the sidewall profile must be maintained in order to achieve acceptable sidewall oxide coverage and reliability. Another challenge is stopping the etch process at a very thin gate dielectric without cutting a trench into the underlying silicon. MASK-MAKING [LITHOGRAPHY] Mask-making capability and cost escalation will continue to be critical to future progress in lithography and will require continued attention. As a consequence of aggressive roadmap acceleration particularly in terms of MPU gate linewidth (post etch) and increased mask error factors (MEFs) associated with low k1 lithography, mask linewidth controllability fails to meet the requirements of the chipmakers. For example, in the 1997 Roadmap, the 70 nm node device required 4 masks to achieve 9 nm of CD control for isolated lines and 14 nm for contacts. The current CD control requirements are 3.4 nm for isolated lines and 4.3 nm for contact when MEF is assumed to be 1.4 and 3.0, respectively. Mask equipment and process capabilities for complex optical proximity correction (OPC) and phase shift masks (PSM) are currently available, while mask processes for post-193 nm technologies are still at a research and development stage. Mask damage caused by electrostatic discharge (ESD), which has long been a concern, is likely to be even more problematic as mask feature sizes will shrink further and masks for 157 nm lithography will be kept in atmospheres nearly free of water. PROCESS CONTROL [LITHOGRAPHY] Process control, particularly for overlay and linewidths, also represents a major challenge. It is unclear whether metrology, which is fundamental for process control, will be upgraded adequately to meet future requirements. Resist line edge roughness (LER) becomes increasingly significant as gate linewidth control needs to become as preciseas size of a polymer unit. Next -generation lithography will require exposure tool of totally new concept. The new tool must be developed and proven to meet reliability and utilization requirements to realize cost-effective production INTEGRATION OF NEW PROCESSES AND STRUCTURES [INTERCONNECT] Combinations of new materials, structures, and processes increase integration complexity. In the process of forming contact holes, a barrier metal that prevents interaction between wiring and insulation film, and a related new process technology need to be developed. Also, a technology that fills contact holes with metal so they have a high aspect ratio (A/R) is also required. As the feature size continues to shrink, it becomes more challenging to develop new insulating materials that prevent metal diffusing and a new process technology as well. 6

The 2002 update Metrology Road Map 7 The 2002 update Metrology Road Map 8

Sensor-based Metrology 9 Materials and Contamination 10

CD Metrology CD-SEM is today s pre-eminent technique Electron yield in interaction volume is a function of surface topography (Secant effect) and atomic number. Extracting CD is not so simple... 11 Various Edge Detection Algorithms are in use... 12

CD-SEMs are often calibrated with AFMs Atomic force Microscopy uses either repulsive forces (sub nm proximity), or weaker attractive forces (a few nm away). Tip tracks surfaces using feedback control. Shape and size of tip is the critical source of errors. Standard features are use to calibrate and de-convolve the tip profile from the measurements. AFM is 100-1000 times slower than a CD-SEM. AFM is sensitive to line-edge roughness. 13 CD-SEM vs AFM standards 14

CD-SEM cannot see the actual profile... 15 Dense vs. Isolated Lines dense iso 16

Comparison for Contact holes 17 More CD-SEM vs AFM comparisons 18

I Optical CD Measurement Not very repeatable Limited spatial resolution Relatively inexpensive 19 Electrical CD Measurement Measurement is very repeatable and fast. Can only be used in conductive layers. Need at least one conductive layer and one insulator. Can be extended for misalignment measurements. 20

Basic SEM Structure 21 The Many Modes of SEM 22

The Issue of Spatial Resolution 23 Chrome on Silicon Example 24

Signal Depends Stronlgy on Material 25 Voltage Contrast SEM 26

CD Sem Resolution Scanning Resolution shown to 1-5nm. CD metrology on resist has 5-10nm precision. Other solutions: ATF, has (theoretically) atomic resolution. Problem: What is CD?? 27 CD-SEM Conclusions Accuracy is several (many) nm. Precision (1-σ repeatability + reproducibility) is 2-5nm today. CD-SEM is stand-alone (I.e. expensive) CD-SEM measurements are available only after patterning, and data integration with control systems is difficult at best. AFM-based calibration will not be possible for trenches less than ~100nm wide. 28