SELECTIVE ETCH REQUIREMENTS FOR THE NEXT GENERATION OF SEMICONDUCTOR DEVICES

Similar documents
ENABLEMENT OF COMPACT MODELS FOR

Extending the Era of Moore s Law

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

There's Plenty of Room at the Bottom

EE410 vs. Advanced CMOS Structures

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Multiple Gate CMOS and Beyond

There s Plenty of Room at the Bottom and at the Top

Advanced and Emerging Devices: SEMATECH s Perspective

TCAD Modeling of Stress Impact on Performance and Reliability

Lecture 9. Strained-Si Technology I: Device Physics

III-V CMOS: What have we learned from HEMTs? J. A. del Alamo, D.-H. Kim 1, T.-W. Kim, D. Jin, and D. A. Antoniadis

Reliability of 3D IC with Via-Middle TSV: Characterization and Modeling

Graphene devices and integration: A primer on challenges

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Low Power FinFET ph-sensor with High-Sensitivity Voltage Readout

Self-Aligned InGaAs FinFETs with 5-nm Fin-Width and 5-nm Gate-Contact Separation

EE143 LAB. Professor N Cheung, U.C. Berkeley

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

Hybrid Wafer Level Bonding for 3D IC

Graphene for future VLSI

Enhanced Mobility CMOS

ELEN0037 Microelectronic IC Design. Prof. Dr. Michael Kraft

Device 3D. 3D Device Simulator. Nano Scale Devices. Fin FET

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

Part 5: Quantum Effects in MOS Devices

Will Reliability Limit Moore s Law?

The Future of CMOS. David Pulfrey. CHRONOLOGY of the FET. Lecture Lilienfeld s patent (BG FET) 1965 Commercialization (Fairchild)

Fundamentals of Nanoelectronics: Basic Concepts

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Recent Development of FinFET Technology for CMOS Logic and Memory

Patterning Challenges and Opportunities: Etch and Film

Performance Enhancement of P-channel InGaAs Quantum-well FETs by Superposition of Process-induced Uniaxial Strain and Epitaxially-grown Biaxial Strain

GaAs and InGaAs Single Electron Hex. Title. Author(s) Kasai, Seiya; Hasegawa, Hideki. Citation 13(2-4): Issue Date DOI

High Dielectric Constant (k) Materials

Fabrication Technology, Part I

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

materials, devices and systems through manipulation of matter at nanometer scale and exploitation of novel phenomena which arise because of the

Proposed Thermal Circuit Model for the Cost Effective Design of Fin FET

EV Group. Engineered Substrates for future compound semiconductor devices

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

From Physics to Power, Performance, and Parasitics

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Thin Film Transistors (TFT)

LEADING THE EVOLUTION OF COMPUTE MARK KACHMAREK HPC STRATEGIC PLANNING MANAGER APRIL 17, 2018

Beyond Si: Opportunities and Challenges for CMOS Technology Based on High-Mobility Channel Materials T.P. Ma Yale University

Tri-Gate Fully-Depleted CMOS Transistors: Fabrication, Design and Layout

How a single defect can affect silicon nano-devices. Ted Thorbeck

nmos IC Design Report Module: EEE 112

From nanophysics research labs to cell phones. Dr. András Halbritter Department of Physics associate professor

CS 152 Computer Architecture and Engineering

Recent Progress and Challenges for Relay Logic Switch Technology

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

EE 5211 Analog Integrated Circuit Design. Hua Tang Fall 2012

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

MOS Transistor Properties Review

Technische Universität Graz. Institute of Solid State Physics. 11. MOSFETs

PROGRESS AND ISSUES IN DIELECTRIC MATERIALS FOR SUB-100NM DRAM TECHNOLOGY ABSTRACT

Transmission Electron Microscopy for metrology and characterization of semiconductor devices

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

EE382M-14 CMOS Analog Integrated Circuit Design

EE141- Spring 2003 Lecture 3. Last Lecture

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Lithography and Etching

Chapter 3 Basics Semiconductor Devices and Processing

Random Access Memory. DRAM & SRAM Design DRAM SRAM MS635. Dynamic Random Access Memory. Static Random Access Memory. Cell Structure. 6 Tr.

Three-Dimensional Silicon-Germanium Nanostructures for Light Emitters and On-Chip Optical. Interconnects

GMU, ECE 680 Physical VLSI Design 1

Analytical Modeling of Threshold Voltage for a. Biaxial Strained-Si-MOSFET

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct

Film Deposition Part 1

Nanoparticle Devices. S. A. Campbell, ECE C. B. Carter, CEMS H. Jacobs, ECE J. Kakalios, Phys. U. Kortshagen, ME. Institute of Technology

Scaling of Interconnections

Nanostrukturphysik (Nanostructure Physics)

MOSFET: Introduction

Application of High-κ Gate Dielectrics and Metal Gate Electrodes to enable Silicon and Non-Silicon Logic Nanotechnology

Passionately Innovating With Customers To Create A Connected World

Nanocarbon Interconnects - From 1D to 3D

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Components Research, TMG Intel Corporation *QinetiQ. Contact:

NEM Relay Design for Compact, Ultra-Low-Power Digital Logic Circuits

Modeling Random Variability of 16nm Bulk FinFETs

EE130: Integrated Circuit Devices

Nanoimprint Lithography

L ECE 4211 UConn F. Jain Scaling Laws for NanoFETs Chapter 10 Logic Gate Scaling

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

DEPFET sensors development for the Pixel Detector of BELLE II

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices?

TECHCET s Review of Sematech s Surface Preparation & Cleaning Conference Saratoga Springs, NY, May 12-14, 2015 Part 1 of 2

Transcription:

SELECTIVE ETCH REQUIREMENTS FOR THE NEXT GENERATION OF SEMICONDUCTOR DEVICES FRANK HOLSTEYNS ON BEHALF OF THE SURFACE AND INTERFACE PREPARATION GROUP OF THE UNIT PROCESS DEPARTMENT 10 TH OF APRIL 2018

POWER 500 Watt (mains level) I/O BANDWITH 1 Mbp/s 10 Mbp/s 1 Gbp/s 100 Gbp/s 1 Tbp/s IOT personal/home gateway Data center/cloud 1 TByte 100 Watt 1 Watt (battery level) 100mWatt (battery level) IOT sensor nodes IOT interfaces - High-performance mobile (low power) - High performance CPU/GPU - Mass Storage - Hi-speed communication (Optical IO) DATA STORAGE 1 TByte 100 GByte 10 GByte 100µW (ambient level) - Ultra-low-power, cost-sensitive design - Sensor and sensor integration 100 MByte 10 Mop/s 10 Gop/s 100 Gop/s 1 Top/s 1 Pop/s PERFORMANCE

log 2 (#transistors/$) DIMENSIONAL SCALING CHALLENGES, DEVICE ARCHITECTURE & MATERIAL INNOVATION 10-7nm: More trouble Multi-patterning cost escalates Introduce Co in MOL 3-2.5nm: GAA Fin based device runs out of steam? Horizontal or Vertical nanowire, hybrid materials Highly scaled new device architectures 14nm: FinFET New architectures: FinFET device saves the day 7-5nm: At last... EUV reduces cost Towards ultimate fin scaling Introduction SiGe p-channel STCO 20nm: First sign of trouble Double patterning (cost!) Planar device runs out of steam Less happy scaling era Still doubles but device scaling provides diminishing returns Material driven scaling 28nm 20nm 14nm 2.5nm 1.75nm 22nm pitch 22nm pitch 120nm STI 170nm STI DTCO 3nm Hybrid scaling Ultimate finfet 5nm CFET, VFET, 2D, spin,... 7nm Scaling boosters 10nm RMC, SAGC, MG cut, FAV, BPR, SAB,... Track height scaling 3Fin 2Fin 1Fin? CFET VFET Stanford IEDM 14 intel Happy scaling era # transistors per area doubles every two year for same cost Lithography driven scaling 90nm: BEOL Introduction of Cu (DD) 90nm 65nm 40nm Planar: HKMG Planar: Strained Si CNT FET 2005 2007 2009 2011 2013 2015 2017 2019 2021 2023 2025 2027 year 3

log 2 (#transistors/$) DIMENSIONAL SCALING CHALLENGES, DEVICE ARCHITECTURE & MATERIAL INNOVATION 10-7nm: More trouble Multi-patterning cost escalates Introduce Co in MOL 3-2.5nm: GAA Fin based device runs out of steam? Horizontal or Vertical nanowire, hybrid materials Highly scaled new device architectures 14nm: FinFET New architectures: FinFET device saves the day 7-5nm: At last... EUV reduces cost Towards ultimate fin scaling Introduction SiGe p-channel STCO 20nm: First sign of trouble Double patterning (cost!) Planar device runs out of steam Less happy scaling era Still doubles but device scaling provides diminishing returns Material driven scaling 28nm 20nm 14nm 2.5nm 1.75nm 22nm pitch 22nm pitch 120nm STI 170nm STI DTCO 3nm Hybrid scaling Ultimate finfet 5nm CFET, VFET, 2D, spin,... 7nm Scaling boosters 10nm RMC, SAGC, MG cut, FAV, BPR, SAB,... Track height scaling 3Fin 2Fin 1Fin? CFET VFET Stanford IEDM 14 intel Happy scaling era # transistors per area doubles every two year for same cost Lithography driven scaling 90nm: BEOL Introduction of Cu (DD) 90nm 65nm 40nm Planar: HKMG Planar: Strained Si CNT FET 2005 2007 2009 2011 2013 2015 2017 2019 2021 2023 2025 2027 year 4

log 2 (#transistors/$) DIMENSIONAL SCALING CHALLENGES, DEVICE ARCHITECTURE & MATERIAL INNOVATION 10-7nm: More trouble Multi-patterning cost escalates Introduce Co in MOL 3-2.5nm: GAA Fin based device runs out of steam? Horizontal or Vertical nanowire, hybrid materials Highly scaled new device architectures 14nm: FinFET New architectures: FinFET device saves the day 7-5nm: At last... EUV reduces cost Towards ultimate fin scaling Introduction SiGe p-channel STCO 20nm: First sign of trouble Double patterning (cost!) Planar device runs out of steam Less happy scaling era Still doubles but device scaling provides diminishing returns Material driven scaling 28nm 20nm 14nm 2.5nm 1.75nm 22nm pitch 22nm pitch 120nm STI 170nm STI DTCO 3nm Hybrid scaling Ultimate finfet 5nm CFET, VFET, 2D, spin,... 7nm Scaling boosters 10nm RMC, SAGC, MG cut, FAV, BPR, SAB,... Track height scaling 3Fin 2Fin 1Fin? CFET VFET Stanford IEDM 14 intel Happy scaling era # transistors per area doubles every two year for same cost Lithography driven scaling 90nm: BEOL Introduction of Cu (DD) 90nm 65nm 40nm Planar: HKMG Planar: Strained Si CNT FET 2005 2007 2009 2011 2013 2015 2017 2019 2021 2023 2025 2027 year 5

log 2 (#transistors/$) DIMENSIONAL SCALING CHALLENGES, DEVICE ARCHITECTURE & MATERIAL INNOVATION 10-7nm: More trouble Multi-patterning cost escalates Introduce Co in MOL 3-2.5nm: GAA Fin based device runs out of steam? Horizontal or Vertical nanowire, hybrid materials Highly scaled new device architectures 14nm: FinFET New architectures: FinFET device saves the day 7-5nm: At last... EUV reduces cost Towards ultimate fin scaling Introduction SiGe p-channel STCO 20nm: First sign of trouble Double patterning (cost!) Planar device runs out of steam Less happy scaling era Still doubles but device scaling provides diminishing returns Material driven scaling 28nm 20nm 14nm 2.5nm 1.75nm 22nm pitch 22nm pitch 120nm STI 170nm STI DTCO 3nm Hybrid scaling Ultimate finfet 5nm CFET, VFET, 2D, spin,... 7nm Scaling boosters 10nm RMC, SAGC, MG cut, FAV, BPR, SAB,... Track height scaling 3Fin 2Fin 1Fin? CFET VFET Stanford IEDM 14 intel Happy scaling era # transistors per area doubles every two year for same cost Lithography driven scaling 90nm: BEOL Introduction of Cu (DD) 90nm 65nm 40nm Planar: HKMG Planar: Strained Si CNT FET 2005 2007 2009 2011 2013 2015 2017 2019 2021 2023 2025 2027 year 6

log 2 (#transistors/$) DIMENSIONAL SCALING CHALLENGES, DEVICE ARCHITECTURE & MATERIAL INNOVATION 10-7nm: More trouble Multi-patterning cost escalates Introduce Co in MOL 3-2.5nm: GAA Fin based device runs out of steam? Horizontal or Vertical nanowire, hybrid materials Highly scaled new device architectures 14nm: FinFET New architectures: FinFET device saves the day 7-5nm: At last... EUV reduces cost Towards ultimate fin scaling Introduction SiGe p-channel STCO 20nm: First sign of trouble Double patterning (cost!) Planar device runs out of steam Less happy scaling era Still doubles but device scaling provides diminishing returns Material driven scaling 28nm 20nm 14nm 2.5nm 1.75nm 22nm pitch 22nm pitch 120nm STI 170nm STI DTCO 3nm Hybrid scaling Ultimate finfet 5nm CFET, VFET, 2D, spin,... 7nm Scaling boosters 10nm RMC, SAGC, MG cut, FAV, BPR, SAB,... Track height scaling 3Fin 2Fin 1Fin? CFET VFET Stanford IEDM 14 intel Happy scaling era # transistors per area doubles every two year for same cost Lithography driven scaling 90nm: BEOL Introduction of Cu (DD) 90nm 65nm 40nm Planar: HKMG Planar: Strained Si CNT FET 2005 2007 2009 2011 2013 2015 2017 2019 2021 2023 2025 2027 year 7

AS SCALING CONTINUES, CHALLENGES ARISE IN WET PROCESSING Wetting and kinetics for nanostructured surfaces I II III (i) Capillary force induced pattern collapse Selective etch requirements (iv-2) (v) (vi) (ii) (iii) (iv-1) (iii) (iv-1) (i) (ii) Actual wetting states confirmed by optical reflectometry; Xu et al., ACS Nano (2014). (iv-2) (v) (vi) Low k: 45 nm L/S, AR~5 Si pillars AR~20 in-situ TEM observations Si fins AR~10 Si NW release VLSI 2016 Si NS release IFT 2017 STI oxide recess, SiNx compatible ATR-FTIR; Vrancken et al., Langmuir (2017). 3D structures with more surface area interfacial phenomena Xu et al., Mirsaidov, Semicon Korea (2017). High surface to volume ratio mechanical stability and structural integrity 8 Cu recess to enable FSAV dimensional scaling introduction of new device architectures and new selective etch requirements

KINETICS MAY VARY IN NANO-CONFINEMENT Wetting electrical double layers water structuring microchannel nanochannel (a) IPA concentration profile oscillating around the critical concentration and (b) the corresponding wetted area fraction as a function of time Wetting hysteresis Differentiation between Wenzel / Cassie- Baxter / Mixed wetting states In-situ study of wetting stability and hysteresis on initially non-wetting substrate H. Daiguji, Chem. Soc. Rev. (2010). Overlap of electrical double layers (EDL) in nanochannel Depletion of ions with same charge as surface in channel: no electroneutrality Vereecke, ECIS (2017). Water confinement Formation of ice-like water in nanoconfined volumes Wetting hysteresis observed (Vrancken et al., Langmuir 2017). ph shift expected (D.Bottenus et al., Lab on Chip, 2009, 9, 219.) Depletion etchants (A. Okuyama et al., Solid State Phenom. 2015, 219, 115.) effect of water structuring on diffusivity of chemical species in nano-confined volumes expected 9

CAPILLARY FORCE INDUCED PATTERN COLLAPSE IN SITU CHARACTERIZATION OF DEWETTING AT NANOSCALE Real-time visualization of pattern collapse with TEM in liquid cell. Polycrystalline Si nanopillars, height 450 nm. Formation of clusters due to capillary instabilities. During drying the water film becomes unstable, and water is drained gradually towards bended nanopillars islands. 10

PATTERN COLLAPSE/STICTION FREE DRYING IPA dry Surface functionalizing chemistry (SFC) Replace water by low surface tension (γ) solvents to reduce capillary force; Improve evaporation rate (gas flow and heat) Improve on IPA quality Si nanopillars with native oxide DIW (γ=0.072 N/m) IPA (γ=0.022 N/m) Reduce capillary force by increasing contact angles (θ) of rinsing liquids; Reduce surface adhesion force, more relevant when IPA dry is used after SFC; Further reduction capillary force: towards sublimation drying Increase surface hydrophobicity reduces pattern collapse in water (not necessarily for other solvents!) (i) (ii) (iii) (iv) (v) 11

SELECTIVE ETCH REQUIREMENTS

SELECTIVE/ISOTROPIC ETCH OPPORTUNITIES (WET/DRY) FINFET GAA CFET 20 15 10 5 Oxide etch Nitride etch Semiconductor etch Metal etch MHM/ESL removal (BEOL) Metal line recess (FSAV) Contact recess (SAGC) MHM/ESL removal (BEOL) Metal line recess (FSAV) WFM patterning Channel release IO (dummy) OX removal Dummy poly removal Upper contact recess Upper channel recess N/P isolation recess Cover spacer removal MHM/ESL removal (BEOL) MHM removal (MOL) Lower contact recess Metal line recess (FSAV) CESL removal Lower channel recess Contact recess (SAGC) WFM patterning Sacrificial oxide recess MHM removal (MOL) Channel releae Bottom isolation recess CESL removal IO (dummy) OX removal Inner spacer etchback WFM patterning Dummy poly removal Cavity etch IO (dummy) OX removal Inner spacer etchback Dummy OX removal Dummy poly removal Cavity etch Dummy poly trim ILD0 recess (MGC) ILD0 recess (MGC) BPR cap recess STI recess STI recess BPR metal recess FinFET GAA CFET 20 15 10 5

SEMICONDUCTOR ETCH: GAA SELECTIVE ETCH REQUIREMENTS Dry SiGe SiGe Cavity etch Ge SiGe Ge SiGe Ge SiGe Ge Wet SiGe Ge Si NW/NS release SiGe NW release Ge NW release Dry Wet dnh 4 OH ~ Wet Ge Mertens et al., IEDM (2017). Mertens et al., VLSI (2016). ITF (2017) Wostyn et al., ECS (2015). Sebaai, UCPSS (2016), Witters, VLSI2017.

SEMICONDUCTOR ETCH: GAA SELECTIVE ETCH REQUIREMENTS HCl (gas) FORMULATED MIXTURE (wet) For both HCl (g) and formulated mixture, selectivity increases strongly with increasing Ge%. Anisotropic selective etch. Process time ~ hour Isotropic selective etch. Process time ~ min Bogumilowicz et al. Semicond. Sci. Technol. 20 (2005) 127.

DIELECTRIC ETCH FinFET/GAA/CFET/VFET Si/SiGe, GAA Fin reveal (SiO 2 /SiN etch) Si, SiGe, Si/SiGe fins Isolation recess (SiO 2 /SiN etch) Memory Selective SiNx removal for 3D-NAND fabrication STI SiN liner GAA inner spacer EB [SiN(OC) etch] CESL removal (SiN etch) Bottom isolation recess (SiO 2 etch) N/P isolation recess (SiO 2 /SiN etch) Oxide recess selective to SiNx BPR isolation recess 3D SCM dummy gate recess SiN etch OX OX SiN OX SiN Mertens et al., IEDM (2017). Pacco et al., SPCC (2018) 16 SiN recess

DIELECTRIC ETCH FinFET/GAA/CFET/VFET Si/SiGe, GAA Fin reveal (SiO 2 /SiN etch) Si, SiGe, Si/SiGe fins Isolation recess (SiO 2 /SiN etch) Memory Selective SiNx removal for 3D-NAND fabrication STI SiN liner GAA inner spacer EB [SiN(OC) etch] CESL removal (SiN etch) Bottom isolation recess (SiO 2 etch) N/P isolation recess (SiO 2 /SiN etch) Oxide recess selective to SiNx BPR isolation recess 3D SCM dummy gate recess SiN etch OX OX SiN OX SiN Mertens et al., IEDM (2017). Pacco et al., SPCC (2018) 17 SiN recess

METAL ETCH Selective removal IC: MHM/ESL removal TiN-HM (and TiFx residue) removal Controlled metal recess: BPR, FSAV, SAGC, CMR BPR metal recess Fully Self Aligned Via Metal recess RMG WFM patterning WFM removal in limited spaces CFET: contact metal rail Vertical GAA-NWFETs Top contact Bottom contact Bumping module (seed etch) 10 um pitch (CuNiSn bump) RMG module VGAA-NWFETs Oniki et al., SPCC (2018). Veloso, ECS (2017); Murdoch, IEEE (2017).

CORE CMOS PARTNERS LOGIC / MEMORY IDM & FOUNDRIES FABLESS & FABLITE EQUIPMENT & MATERIAL SUPPLIERS / OSAT / EDA / JDP PARTNERS 19

CONFIDENTIAL INTERNAL USE