Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Similar documents
Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Litho scenario solutions for FinFET SRAM 22nm node

Chromeless Phase Lithography (CPL)

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Cost of Ownership Considerations for Maskless Lithography

Resist material for negative tone development process

EUV lithography industrialization for HVM

EUV Lithography Towards Industrialization

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Mask Characterization for Double Patterning Lithography

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Interactions of 3D mask effects and NA in EUV lithography

Important challenge for the extension of Spacer DP process

Cost Implications of EUV Lithography Technology Decisions

Impact of Pellicle on Overlay in Double Patterning Lithography

Critical Dimension Uniformity using Reticle Inspection Tool

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

EUVL Readiness for High Volume Manufacturing

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

193 nm STEP AND SCAN LITHOGRAPHY

Actinic review of EUV masks: First results from the AIMS EUV system integration

Intra-wafer CDU characterization to determine process and focus contributions based on Scatterometry Metrology

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Photolithography II ( Part 1 )

Sensors and Metrology. Outline

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Characterization of Optical Proximity Correction Features

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

IEUVI Mask Technical Working Group

EUV Lithography Status and Key Challenges for HVM Implementation

Tilted ion implantation as a cost-efficient sublithographic

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Patterning Challenges and Opportunities: Etch and Film

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Progress on ASML s EUV Alpha Demo Tool

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

MICRO AND NANOPROCESSING TECHNOLOGIES

Lecture 14 Advanced Photolithography

Overview of EUV Lithography and EUV Optics Contamination

PERFORMANCE OF A STEP AND SCAN SYSTEM FOR DUV LITHOGRAPHY

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

EUVL for HVM: Progress Update

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Ebeam based mask repair as door opener for defect free EUV masks M. Waiblinger a, Tristan Bret a, R. Jonckheere b, D.

EUREKA: A new Industry EUV Research Center at LBNL

Optical Proximity Correction

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

UV2Litho Usable Vacuum Ultra Violet Lithography

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Analysis of carbon contamination on EUV mask using CSM/ ICS

More on Stochastics and the Phenomenon of Line-Edge Roughness

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

We published the text from the next page.

Accurate and Reliable Optical CD of MuGFET down to 10nm

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Measurement of EUV scattering from Mo/Si multilayer mirrors

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Nanoimprint Lithography

Taurus-Topography. Topography Modeling for IC Technology

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Registration Error Terms: Grid: Wafer Terms and Field IFD

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Silicon VLSI Technology. Fundamentals, Practice and Modeling

The MEEF Shall Inherit the Earth

Extending the Era of Moore s Law

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

A Reticle Correction Technique to Minimize Lens Distortion Effects

IC Fabrication Technology

ASML Approach to Euv Reticle Handling

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

Critical Dimension Control and its Implications in IC Performance

The study for image placement repeatability of EUV mask on the flat chuck

Fall 2003 EE290H Tentative Weekly Schedule

Resist-outgas testing and EUV optics contamination at NIST

Transcription:

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello Slide 1

ArF water immersion lithography cannot image 32 nm HP in SE 200 Resolution, Shrink (nm) 100 80 60 40 30 AT:1200 Logic NAND Flash DRAM Logic / SRAM DRAM NAND XT:1400 ASML Product Introduction XT:1700i 0.27 ~ 0.30 XT:1900i NEXT 0.29 ~ 0.35 EUV 6 Transistor SRAM Cell 0.36 ~ 0.44 Immersion Double Patterning 20 02 03 04 05 06 07 08 09 10 11 12 13 14 Year of Production Start* Note: Process development 1.5 ~ 2 years in advance / updated 12/07 / Slide 2 EUV

Outline Evolution, Lithography, and Main Optical Litho Options Current Progress in DPT: LithoEtchLithoEtch Current Progress in DPT: Spacer Future Evolution Conclusion and Discussion / Slide 3

Genetic Evolution as applied to Advanced Lithography We have a population of ideas and solutions The best or optimum solution is the one that is cost effective for the specifics of the chip manufacturer This cost function is NOT identical for all manufacturers The solutions keep adapting to the challenges and industry demands New solutions can evolve from previous solutions, e.g., NA=0.63 to NA=0.7 for higher resolution, and therefore, greater shrink leading to better cost performance Solutions can be mixed with others cross over Every once on awhile a really radical idea emerges mutation E.g., 193 immersion E.g., imprint mutating from embossing The optimum is found by arduous global searching and modification Parallel optimization, not serial There may be many local minima but only one maximum for the cost function / Slide 4

Evolutionary path to DPT (Double Patterning Technology) uses 193nm and provides extendibility to other λ, e.g., EUV Contact/Proximity printing Direct Write Ebeam Optical Projection 1X Scanner Broadband Ion Beam Multibeam Ebeam 5x,10x S&R Xray 1X Scanner DUV Step and Scan Optical Maskless Immersion Imprint NA>1.35 Polarization Imaging 193nm DPT Solid Immersion EUV NA 0.25 / Slide 5

Main Stream HV Optical Litho options Litho options with potential to be adopted at <3x node for memory designs that requires 1:1 hp resolution: Spacer (selfaligned); LELE (Dual LithoEtch) LFLE (Litho Freeze Litho Etch) EUV (single exposure) Main Double patterning options: Spacer and LELE positive or negative process flow Requirements fro 32nm CDU 3σ for 32nm L/S CDU line Target CDU Flash 3.2nm Target CDU Other (DRAM. Logic) 3.2nm CDU space 3.2nm 4.0nm / Slide 6

Outline Evolution, Lithography, and Main Optical Litho Options Current Progress in DPT: LithoEtchLithoEtch Current Progress in DPT: Spacer Future Evolution Conclusion and Discussion / Slide 7

Experimental (schematically) Resist Barc HM Poly SiO2 HM Poly SiO2 Positive LELE 1:1 L/S 1 mask 1 st litho: Expose 2 to~ 1:2 1 st etch + Trim to 1:3 3 Spacer DPT Resist Barc Sacrificial APF HM Electric layer Sacrificial (APF) HM Electric layer Spacer deposition Spacer after Sacrificial removal Final Poly SiO 2 / Slide 8

Experimental: conditions and tools crucial for process control Exposure Multiple XT:1900i (ASML VHV) XT:1700i (IMEC) 32nm L/S: annular 1.2 NA XYpol 0.8/0.5 22nm L/S: 1.35NA dipolex 35 deg Y pol 0.92/0.72 Reticle 6% Attenuated PSM 64nm L/S 44nm L/S Track multiple tracks; interfaced to XT:1900 and XT:1700 Etch Metrology VHV: LELE IMEC: LELE and Spacer CDSEM angle resolved scatterometry YS) Process Control DoseMapper GridMapper Intrafield and Interfield dose and grid corrections / Slide 9

LELE: CDU for Isolated and Dense Lines Target CDlitho 1 (dense) Real CDlitho is smaller than target CDlitho Errors caused by 1 st litho Target CDlitho 1 (iso) 1 st etch introduces additional CD error Overlay error 2 nd Litho: target CD different from CDlitho1 Overlay error causes spaces (in a positive process) to be different Dense L 1 S 1 L 2 S 2 Final CD < 10% Target CD Final CD includes 4 populations, two for lines, two for spaces Iso / Slide 10

Doublepatterning LELE: LineCD distribution Target CD and CDU is critical for multiple line populations Count Bimodal CD distribution occurs when CD differs in the two exposures impact on total CDU of lines Line CD [nm] population 1 population 2 / Slide 11

Doublepatterning: Space distribution Overlay is critical in CDU for multiple space populations Count Space CD [nm] / Slide 12

Process control in LithoEtchLithoEtch and Spacer Error component Pattern polarity Positive Tone Spacer Lines Spaces Positive tone LELE Lines Spaces Typical Contributions Dose 0.7 0.7 0.5 Focus 0.5 0.5 0.4 Track and etch process Spacer deposition (+ multiple etch steps) Mask CDU (1x) (assumes MEEF of 1.4) 1.6 2.4 2.9 1.4 2.7 1.4 1.7 1 Main Contributors To CDU lines Mask registration and overlay (1x) Scanner overlay CDU lines (nm) CDU spaces (nm) 1.6 1.1 4.2 3.1 1.5 3 3.9 Overlay& Mask. reg Contributions in CDU spaces Jo Finders, Mircea Dusa, Stephen Hsu, MLW 2008, to be published / Slide 13

Evolution of DPT Overlay at 32nm resolution Measured with CDSEM P1 L P1 R DPT _ OL = P P 1 P 1 = DPT Overlay [nm] P1 L + P1 R 2 XT:1900i Mean+3σ: x=2.9nm, y=3.0nm Keystone 2007 DPT Overlay (Mean+3σ): X: 4.6 nm Y: 4.3 nm XT:1700i No corrections / filtering applied 2.5 weeks between exposures DPT overlay well controlled <3nm / Slide 14

Evolution of DPT Overlay at 32nm resolution Multiple XT:1900 s, multiple wafers Raw Data 5 4.5 4 3.5 3 2.5 XT:1700i XT:1900i #1 XT:1900i, #2 XT:1900i, 4 wafers M+3σ, x M+3σ, y 2 1.5 1 CDSEM 2579 meas CDSEM 332 meas CDSEM 2579 meas 0.5 0 Multiple XT:1900 s with similar performance, stable over Same wafer, different sampling multiple wafers Dense sampling to capture inter and intrafield fingerpint / Slide 15

DPT overlay reticle contribution Die fingerprint, same reticle on 2 different XT:1900 s CDSEM DPT overlay XT:1900 #1 99.7%: x=2.5nm y=3.0nm 99.7% x=1.4nm y=1.2nm Modeled die fingerprint CDSEM DPT overlay XT:1900 #2 99.7%: x=3.3nm y=3.6nm Reticle Contribution 1.5nm Reticle overlay fingerprint reproduces over two machines and may be compensated 99.7% x=1.1nm y=1.7nm / Slide 16

CD Reticle contribution to DPT CDU Measured reticle data at 1x level for three reticles MEEF = 1.5 ± 0.2 SPIE 2008 (LELE) 3σ = 0.9 nm With DoseMapper: 3σ = 0.4 nm EMLC 2007 Bacus 2007 SPIE 2008 (Spacer) 3σ = 0.6 nm With DoseMapper: 3σ = 0.5 nm SPIE 2007 Keystone 2007 3σ = 1.9 nm Reticle contribution to CDU is 0.9..2.8nm Can be corrected by DoseMapper With DoseMapper: 3σ = 0.7 nm / Slide 17

Track contribution to DPT CDU Evaluated after litho 1 Track #1 XT:1900i CDSEM Track #2 Raw CD data Fitted Interfield CD fingerprint Track fingerprints are different and can be corrected with DoseMapper From raw CD data (contains track and reticle) Track #1: CDU 2.9 nm > 1.1 nm with DoseMapper Track #2: CDU 3.8 nm > 1.4 nm with DoseMapper / Slide 18

Etch contribution to DPT CDU After hardmask etch, measured with CDSEM Etcher 1 Etcher 2 XT:1900i CDSEM Raw CD data Fitted Interfield CD fingerprint Etch fingerprints are different and can be corrected by DoseMapper From raw CD data (contains reticle, track, etch) Etcher #1: CDU 4.0 nm > 1.8 nm with DoseMapper Etcher #2: CDU 3.3 nm > 2.0 nm with DoseMapper DoseMapper is required to achieve target CDU of 3.2nm 3σ / Slide 19

Final result LELE (raw experimental data) Line1: Mean=36.1 3σ=4.6nm Line2: Mean=38.8 3s=5.5nm Space1 Mean=26.8 3s=5.7nm (XT:1900i; CD SEM, 2579 measurements) Correction of mean CD and CDU is needed L1 L2 Space2 Mean=26.25 3s=5.6nm S1 S2 / Slide 20

Final wafer result applying DoseMapper Line1: Mean=34.9 3σ=2.2nm Line 2 Mean=34.6 3σ=2.3nm Space 1 Mean= 30.0 3σ=3.7nm Space 2 Mean=28.5 3σ=3.8nm Lines: CDU < Target CDU Spaces: CDU< Target CDU for DRAM, logic / Slide 21

Outline Evolution, Lithography, and Main Optical Litho Options Current Progress in DPT: LithoEtchLithoEtch Current Progress in DPT: Spacer Future Evolution Conclusion and Discussion / Slide 22

Spacer Double Patterning: Isolated and Dense Lines (1D) Target CDlitho (dense) Real CD is smaller than target CD Error caused by litho and etch trim patterning steps Target CDlitho (iso) Spacer deposition, CMP, and etch introduces further CD errors S 1 S 2 L 1 L 2 CD error in the sacrificial layer causes overlaylike error in final pattern ( pitch walking ) Dense / Slide 23 Iso

Spacer Doublepatterning: SpaceCD distribution Target CD and CDU is critical for multiple space populations Space CD [nm] / Slide 24

Process control in LithoEtchLithoEtch and Spacer Error component Pattern polarity Positive Tone Spacer Lines Spaces Positive tone LELE Lines Spaces Typical Contributions Dose 0.7 0.7 0.5 Focus 0.5 0.5 0.4 Track and etch process 2.4 2.7 1.7 Spacer deposition (+ multiple etch steps) 1.6 2.9 Mask CDU (1x) (assumes MEEF of 1.4) 1.4 1.4 1 Mask registration and overlay (1x) 1.1 1.5 Scanner overlay 3 CDU lines (nm) 1.6 3.1 CDU spaces (nm) 4.2 3.9 Jo Finders, Mircea Dusa, Stephen Hsu, MLW 2008, to be published / Slide 25

32nm Spacer Final result (experimental data: CDSEM) Line1 Mean=32.7 3σ=2.1nm Line2 Mean=32.7 3σ=2.0nm Space1 Mean= 30.0 3σ=2.1nm Space2 Mean=32.8 3σ=4.1nm CD L1 L2 S1 S2 Most critical: space control Distance From center XT1700i;CDSEM / Slide 26

Balancing the Space CDs By changing the dose in lithography 55.00 L1 L2 S1 S2 CD (nm) 50.00 S1 45.00 40.00 S1 35.00 L1 30.00 L2 L2 25.00 S2 S2 20.00 27mJ 25 27 29 31 33mJ 33 35 Dose (mj) / Slide 27

Expected performance after applying DoseMapper (calculated) Line1 Mean=32.7 3σ=2.1nm Line2 Mean=32.7 3σ=2.0nm Space 1 Mean= 31.3 3σ=2.3nm Space 2 Mean= 31.3 3σ=2.8nm / Slide 28

LELE and Spacer Experimental Summary Experimental investigation of Spacer DPT and Litho DPT suggests process corrections are required to achieve target CDUs For LELE DPT we were able to improve overlay on resolution to 3nm for single hard mask stack. Using DoseMapper fingerprints from Reticle, Track and Etch can be reduced. Process control for LELE and Spacer by DoseMapper and GridMapper LELE: overlap and CDU of the two line and the two space populations Spacer: overlap and balanced performance for the two space populations CDU 3σ for 32nm L/S Requirement Flash LELE LELE +Litho Process Control Spacer Spacer+ Litho Process Control CDU Line 1 3.2nm 4.6nm 2.1nm 2.1nm 2.1nm CDU Line 2 3.2nm 5.5nm 2.3nm 2.1nm 2.1nm CDU Space 1 3.2nm 5.7nm 3.7nm 2.1nm 2.3nm CDU Space 2 3.2nm 5.6nm 3.8nm 4.1nm 2.8nm 32nm seems feasible for both LELE and Spacer / Slide 29

Outline Evolution, Lithography, and Main Optical Litho Options Current Progress in DPT: LithoEtchLithoEtch Current Progress in DPT: Spacer Future Evolution Conclusion and Discussion / Slide 30

Litho double patterning: LithoFreezeLithoEtch Litho quality OK for nonresolution DPT application Random logic at K 1 =0.28 LELE LFLE LFLE advantage over LELE application to random logic: CD1 is larger after freezing / Slide 31

Litho double patterning: LithoFreezeLithoetch Litho quality yet below required performance to double resolution at ½ pitch Litho1 Standard resist 1 st : 32.9nm 2 nd : 32.4nm Freezing process: conformal coat and process of a thin material to protect 1 st resist Litho 2 Coat, expose, develop 2 nd pattern 2 nd 1 st Issues: CD1 is larger after freezing => requires smaller CD s at 1 st / litho Slide 32 => difficult CD control for 32nm ½ P Higher LER

Litho patterning process control for CD and Overlay of 32nm, using angle resolved scatterometry raw etched poly CDU mean CD overlay between litho 1 and 2 < 4.9 nm < 7.0 nm < 6.3 nm 99.7% OVL X = 4.0 nm 99.7% OVL Y = 4.2 nm Line1 Line2 10 nm DoseMapper recipe DoseMapper recipe Optimum GridMapper recipe < 2.8 nm < 3.8 nm < 0.8 nm 99.7% OVL X = 3.2 nm 99.7% OVL Y = 3.4 nm DoseMapper corrected etched / Slide poly 33 CDU mean CD 10 nm

Optical double patterning potential for 22nm L/S Example: LELE LITHO 32nm L/S 22nm L/S k 1 0.40 0.31 k 1 final 0.20 0.155 Exposure Annular Dipole XY polarized Y polarized 1.2 NA 1.35 NA topdown SEM Dose sensitivity nm/% dose 0.6 0.7 STEM Almost the same dose sensitivity obtained for 22nm L/S by going to more aggressive Illuminator setting / Slide 34

EUV is another candidate for 22 nm HP and beyond Ref: SPIE2008, 692125 / Slide 35

6 mirror design is extendable to >0.32NA, and even larger apertures (>0.5NA) feasible with obscuration and 8 mirrors Resolution < 20 nm HP at k1>0.5 NA 0.25 NA 0.3x NA > 0.4, no obscuration NA > 0.5, obscured Incidence angles limit aperture Obscuration reduces angle of incidence NA Obscured NA US 6,710,917 B2 WO 2006/069725 Design examples Ref. W. Kaiser et al. (Carl Zeiss SMT AG), The future of EUVL SPIE2008 / Slide 36

Outline Evolution, Lithography, and Main Optical Litho Options Current Progress in DPT: LithoEtchLithoEtch Current Progress in DPT: Spacer Future Evolution Conclusion and Discussion / Slide 37

Conclusions and Discussion Extension of 193nm lithography to 3X and 2X nodes Realizing there is no new NA on the horizon, the focus shifts from a straight shrink to learning how to reduce the effective k 1 through double patterning Spacer and LELE are the most likely approaches Spacer can be used with any existing lithography tool but is more complex to layout and process LFLE is an opportunity to further reduce processing costs Litho tool Both spacer and LELE require much tighter CDU than required from SE lithography; LELE must also achieve overlay on the order 3nm Tighter CDU and overlay budgets should be achieved through active compensation of wafer and field spatial distributions. Metrology Large sampling of CD and overlay will require fast metrology such as scatterometry Ultimate noneuv solution for 22nm and below may be DPT hybrid of optical and nonoptical / Slide 38

Acknowledgement ASML David Deckers, Ad Lammers, Dorothe Oorschot, Bart Rijpers, Paul de Haas, Christian Leewis, Martyn Coogans, Eddy van der Heijden, John Quaedackers, Jeroen Meessen, Toine de Kort, Joris Kuin, Robert Routh, Andre Engelen, Eelco van Setten, Mark van de Kerkhof, Hans Bakker, Jos de Klerk, Koen van Ingen Schneau, Noreen Harnet IMEC Mireille Maenhoudt, Shaunee Cheng, Patrick Jaenen, Tom Vandeweyer, Diziana Vangoidsenhoven Carl Zeiss Winfried Kaiser, / Slide 39