Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Similar documents
Lecture 8. Photoresists and Non-optical Lithography

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Photolithography II ( Part 1 )

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Lecture 14 Advanced Photolithography

Introduction. Photoresist : Type: Structure:

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

EUVL Readiness for High Volume Manufacturing

MICRO AND NANOPROCESSING TECHNOLOGIES

Optical Proximity Correction

Photolithography 光刻 Part II: Photoresists

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Micro- and Nano-Technology... for Optics

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

EUV Lithography Towards Industrialization

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

More on Stochastics and the Phenomenon of Line-Edge Roughness

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

High Optical Density Photomasks For Large Exposure Applications

Laboratory instruction SENSOR DEVICES

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

MSN551 LITHOGRAPHY II

Nano fabrication by e-beam lithographie

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

X-Rays From Laser Plasmas

Sensors and Metrology. Outline

Laboratory instruction SENSOR DEVICES

Carrier Transport by Diffusion

Advanced Optical Communications Prof. R. K. Shevgaonkar Department of Electrical Engineering Indian Institute of Technology, Bombay

Current development status of Shin-Etsu EUV pellicle

Homework 1. Nano Optics, Fall Semester 2018 Photonics Laboratory, ETH Zürich

SHRINK. STACK. INTEGRATE.

EUV lithography industrialization for HVM

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

IC Fabrication Technology

Nanoimprint Lithography

Chromeless Phase Lithography (CPL)

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

Carbon Dating The decay of radioactive nuclei can be used to measure the age of artifacts, fossils, and rocks. The half-life of C 14 is 5730 years.

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

object objective lens eyepiece lens

University Physics Volume 3 Release Notes 2017

Introduction to Photolithography

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

EE143 LAB. Professor N Cheung, U.C. Berkeley

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lecture 0: Introduction

POLARIZATION OF LIGHT

Experiment 3 1. The Michelson Interferometer and the He- Ne Laser Physics 2150 Experiment No. 3 University of Colorado

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Electric field enhancement in metallic and multilayer dielectric gratings

Soft X - Ray Optics: Fundamentals and Applications

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Overview of EUV Lithography and EUV Optics Contamination

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

OPSE FINAL EXAM Fall 2015 YOU MUST SHOW YOUR WORK. ANSWERS THAT ARE NOT JUSTIFIED WILL BE GIVEN ZERO CREDIT.

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Pattern Transfer- photolithography

Actinic review of EUV masks: First results from the AIMS EUV system integration

Characterization of Optical Proximity Correction Features

A beam of coherent monochromatic light from a distant galaxy is used in an optics experiment on Earth.

Overview of the main nano-lithography techniques

Semiconductor Optoelectronics Prof. M. R. Shenoy Department of Physics Indian Institute of Technology, Delhi

Physik und Anwendungen von weicher Röntgenstrahlung I (Physics and applications of soft X-rays I)

Skoog Chapter 6 Introduction to Spectrometric Methods

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

A Reticle Correction Technique to Minimize Lens Distortion Effects

Discussions start next week Labs start in week 3 Homework #1 is due next Friday

Homework 1. Nano Optics, Fall Semester 2017 Photonics Laboratory, ETH Zürich

High Power Diode Lasers

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Mask induced polarization effects at high NA

Transcription:

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press Errata, by Chris Mack, chris@lithoguru.com While teaching out of this book at the University of Texas at Austin, Fall 2013, I discovered the following errors and other suggested improvements. Note that I only read certain parts of certain chapters, and so have comments related to only about half of the book. Chapter 3 p. 48, Example 3.1, the notation D is used rather than D as used in equation 3.7. p. 48, Example 3.1, the notation D i is used rather than D 0 as used in equation 3.7. Note that Di is also used in equations (3.12) and (3.24) to mean the same thing as D 0. p. 48, Table 3.2, the notation of D o = is used in this table rather than D o 2- as used in equation 3.7. p. 48, Table 3.2, the notation of D o is used in this table rather than D o 0 as used in equation 3.11. p. 55, first sentence, the term D i = should be after the word and, not before. Also, this notation is inconsistent with equation (3.7) and should be D 2-. Also, this notation is not consistent with equation (3.24). Chapter 4 p. 76-78, the diffusivity of oxygen in the gas phase is D 02 in equations (4.2) and (4.5), but the notation switches to D in equations (4.9), (4.10), and (4.12). Further, these terms are not explicitly defined in the text. p. 76 77, equation (4.3) uses P g for the partial pressure of oxygen in the furnace, but equation (4.8) uses a lower case p, as does the sentence just after equation (4.8). p. 79, Table 4.1 and Figures 4.2 and 4.3 are based on (111) wafers, after the original Deal-Grove paper. The text incorrectly identifies them as for (100) wafers. p. 84, equation (4.17), there is a minus sign missing from the argument of the exponential. The last bit of the equation should read 1 p. 84, equation (4.17), the derivation of this equation assumes t ox << A. Chapter 5 p. 115, middle of page, there is a missing exponent from (<<10 - torr). I think the exponent should be about 5.

p. 120, equation (5.14), the symbol φ is used for dose, though this is the same things as Q T, the symbol used for does in Chapter 3. Chapter 6 p. 149, the sentence before equation (6.5), Differentiating Equation (6.1) should read Differentiating Equation (6.3). p. 151, line 17, note that the emissivity of silicon is about 0.7 only for T > 600 C. p. 151, line 19, the reference to equation (6.2) should be to equation (6.4).. Chapter 7 p. 176, line 11, the 225-nm reticle should read 225-mm reticle. Further, these 9 reticles are not used in any manufacturing today. p. 176, fourth line from bottom, a typical DRAM process involves about 25 masks, and CMOS processes involve at least 50 masks. p. 178, line 27 (and elsewhere in the text), the phrase areal image is incorrect. The correct phrase is aerial image, meaning the image in air. p. 179, line 12, a typical specification is a three sigma standard deviation of not more than 10% deviation. Alternately, we sometimes say a ±3σ distribution corresponding to ±10% linewidth variation. p. 179, second paragraph, the book uses registration and overlay interchangeably. More correctly, overlay is the positional error of one printed layer compared to a previously printed error, whereas registration is the positional error of a printed layer compared to an absolute grid. Registration errors are sometimes measured for photomasks, but for wafers we typically only worry about overlay. p. 179, line 17, we don t use 6σ as an indicator of overlay performance. Instead we use + 3σ, where is the mean overlay error (in the x-direction in this case). We also measure x and y errors independently. p. 179, line 29, we typically require + 3σ to be less than about one-third the minimum feature size. p. 179, third line from bottom, the light intensity is not the square of the electric field, it is the square of the magnitude of the electric field. p. 180, figure 7.6, the mask plate is labeled as being fused silicon. It should be fused silica.

p. 181-182, equations (7.9) and (7.10) give electric fields. It is very confusing that the symbols used are I x and I y (which makes one think they are intensities) instead of E x and E y. Likewise, the label in figure 7.8. p. 182, figure 7.8, both electric field and intensity are being plotted in this graph. The y-axis label should read Normalized Intensity or Amplitude. p. 182, figure 7.8, the figure caption says image when is should say diffraction pattern. p. 182, section 7.3, in the discussion of MTF it is important to note that the MTF is a property of the imaging lens. The diffraction pattern goes through an objective lens, and the image of the diffraction grating referred to in this discussion is the image produced by this lens. Technically, the MTF is only defined for an object (mask pattern) that has a sinusoidal intensity transmittance. A traditional diffraction grating (a binary transmittance pattern) produces an image that is different, with different Imax and Imin. For this case, equation (7.11) does not give us the MTF but rather gives a quantity called the image contrast. p. 194, after equation (7.17), typical examples of numerical apertures are 0.16 0.93 for dry imaging (n = 1.0) and a numerical aperture of 1.35 is common for immersion imaging (n = 1.44 and the wavelength is 193 nm). p. 195, second line, typical values of k 1 go down to 0.3 (lower than the quoted value of 0.4). p. 195, equation (7.19), the depth of focus should use the symbol DOF (instead of σ) to be consistent with equation (7.23). Further, this equation is almost always written as where k 2 < 1. = p. 195, line 23, the sentence Virtually all modern projection printers use diffractive rather than reflecting optics should read Virtually all modern projection printers use refractive rather than reflecting optics. Further, this is only true for dry projection printing. Immersion lithography tools use catadioptric lenses, with both refracting and reflecting elements. p. 196, equation (7.21), the symbol Γ is not defined. It is the pitch, and the symbol should be P to be consistent with equation (7.24). p. 196, line 13 (end of paragraph), it is always preferable to have a radiation source that is not perfectly coherent spatially. p. 198, equation (7.24), the angle is not equal to λ/np, the sine of the angle is equal to this quantity.

p. 199, line 9, which corresponds to being able to image 65-nm nodes and approach the 45- nm node. This is incorrect. We use simple immersion lithography to image the 28-nm logic node, which has a minimum pitch of 80 nm (minimum half-pitch of 40 nm). p. 200, discussion before equation 7.26. First, it is important to note that throughput is very wafer-size dependent for both steppers and scanners. For 300-mm wafers, steppers have achieved 100 wph, and scanners can easily surpass 200 wph. p. 201, end of first paragraph, the phrase phase contrast mask should read phase shifting mask. p. 202, line 12, damage to pellicles due to excimer laser exposure is no longer a problem. p. 202, line 22, the use of a lens-side antireflection coating on the mask is no longer new. It is quite standard. p. 203, first paragraph, the rim shifter shown improves contrast somewhat, but not dramatically as claimed at the end of the paragraph. Rim shifters are never used in manufacturing because a better phase-shifting mask is used instead: attenuated PSM. p. 203, the three equations are not numbered. It is important to note that S is not independent of M (it is a non-linear problem). Thus the statement that once S -1 is known, it can be applied to any mask is not true. p. 205, line 14, the use of a permanent less-reflective layer (such as TiN on Al) is common, but it is not called a top antireflective coating (TARC). A TARC is a different thing entirely an antireflective coating put on top of the photoresist to reduce swing curves. p. 206, first line, note that the use of an antireflective coating can eliminate standing waves completely, but does not always do so since the perfect material and coating thickness is not always possible. p. 206, line just above figure 7.34, the global alignment is not done manually. It is an automatic process. Chapter 8 p. 213, 6 th line from bottom, monoclinic cyclic ring should read aromatic cyclic ring. Huckel rule applies to planar molecules, an often monoclinic rings are not planar. p. 215, section 8.3. The acronym DQN should read DNQ, which stands for diazonaphthoquinone. p. 216, figure 8.5. This figure shows a DNQ, and the abbreviation DQ is never used.

p. 216, 17 lines up from bottom. Neither K nor Na are used in developer any more (since the 1980s) because of the fear of metal ion contamination. Instead, the developer used is TMAH, tetramethyl ammonium hydroxide. p. 2.18, just after equation (8.1), the contrast is the absolute value of the slope of the line, since we quote a positive value for the contrast for both positive and negative resists. p. 218, table 8.1, bottom note. The AZ formulations are products of AZ Electronic Materials, not Shipley. In the 1970s and 1980s, Shipley reformulated and resold AZ resists. Shipley (not part of Dow Chemical) currently makes their own resists, and is independent of AZ. p.219, equation (8.4). This equation gives an approximate expression for the apparent contrast, not the true contrast. Absorption affects the accuracy of the measurement of resist contrast, but does not affect the contrast itself. p. 221, third line from bottom. The maximum useable spin speed depends on the wafer size. For 300 mm wafers, the spin speeds are in the range of 1000 2000 rpm. p. 221, figure 8.9. A post-exposure bake is optional for a DNQ resist, but not for a chemically amplified resist. p. 224, line 7. HMDS is an adhesion promoter, not a surfactant. p. 224, line 20. Surfactants cause development surface inhibition, a slowing down of the development rate at the top of the resist. This results in an apparent increase in the measured contrast (by a factor of 3 or even more). But the real contrast is not affected. p. 225, second line from bottom. The sentence If α is too large should read If α is too small. p. 226, line 3. Lithography area room lights are yellow because they filter out the blue and near UV light, not just the deep-uv. p. 226, line 18. For most DNQ resists, absorbance changes on exposure. p. 226, line 20. The sentence The actinic absorbance is defined should read The bleachable absorbance is defined. Actinic absorbance is the absorbance that leads to a chemical reaction. It is sometimes equal to the bleachable absorbance, but often not. p. 229, first line of section 8.8. The chemically amplified resists described in this section are not new. They have been used since the early 1980s, and have been the dominant resist technology since the late 1990s. p. 229, second paragraph. Excimer lasers are used in exposure systems in nearly all IC manufacturing today (the exception being very old technology). The chemically amplified deep-

UV resists in use (for 248-nm and 193-nm exposure) are exceptionally good, surpassing in capability the best DNQ resists. Thus, DNQ resists are never used for deep-uv lithography. p. 230, line 2. an additional photoactive compound is added. This is not true. There is one photosensitive compound, called a photoacid generator (PAG). p. 230, figure 8.17. This figure is the wrong figure. It does not show the deblocking reaction as described in the txt. p. 231, line 19. LER is a major problem at 193-nm lithography, but it doesn t have anything to do with 193-nm resists or exposure per se. LER is a major problem whenever the feature size gets very small. Also, LER is usually reduced by etching, not made worse. p. 232, first few lines. Contrast enhancement layers are not particularly important for any lithography technology, and are not particularly important for deep-uv. p. 232, second paragraph, the reference to figure 8.16 should be a reference to figure 8.17. p. 233, summary. DNQ resists are the most popular type of resist for g-line and i-line lithography. The most popular resists for 248-nm and 193-nm lithography are chemically amplified resists. All processes technologies since the 250-nm node (from the mid to late 1990s) have used chemically amplified resists. Chapter 9 p. 238, line 6, the phrase phase contrast mask should read phase shifting mask. Also, the or other before optical proximity correction is incorrect. A phase shifting mask is not a type of optical proximity correction. p. 239, second paragraph of section 9.1, x-ray sources emit photons with energy between 1 kev and 10 kev, so that the wavelengths are between about 0.1 nm and 1 nm. p. 239, figure 9.1, the labels γ 1 and γ 2 should instead by λ 1 and λ 2. p. 240, figure 9.2, the y-axis should have the label Absorption Coefficient (cm -1 ). p. 240, equation (9.2). The following terms are not defined: ρ is the density, and m equals the molecular weight divided by Avogadro s number. p. 240, equation (9.3), theta is, I believe, the scattered angle. p. 241, equation (9.5), the undefined terms are: e = charge on the electron, ε 0 = dielectric constant of vacuum, E = electron energy. p. 241, equation (9.7), E 0 is the incident electron energy.

p. 245, line 12, the phrase 100 m to 1 mm should read 100 µm to 1 mm. p. 249, first sentence of section 9.4, x-ray and EUV light is light, so it is not correct to call these techniques nonoptical. p. 251, line 9, the price of EUV lithography systems keep going up. Commercial tools began shipping in 2013 (the ASML NXE:3300), and they cost about $100,000,000 each. Wow. p. 254, second line from bottom. When we say the mirror reflectivity is large, we mean that it is nearly 70% reflectivity. p. 255, bottom paragraph. The text mentions the first prototype EUV tool, the NXE:3100, went to Imec. As an update, six of these tools were built and five of them went to semiconductor manufacturers. In 2013-2014, ten production tools (the NXE:3300) as being built and shipped to customers by ASML. Three were shipped at the end of 2013. p. 256, line 10, the Si/Mo multilayer mirrors can do better than 60% reflectivity. The achieve in the 67-69% reflectivity range. p. 256, final paragraph before section 9.8, the maximum throughput of the alpha demo EUV tools was 4 hours per wafer, not four wafers per hour. The maximum throughput of the beta tools (the NXE:3100) was 6 wafers per hour, not 60. p. 258, equation (9.17), note that h = Plank s constant. p. 262, figure 9.26, PDMS = polydimethylsiloxane, a silicone. Chapter 11 p. 303, fourth line of section 11.2, the phrase depth of field should read depth of focus. p. 309, line 30, the phrase Si of SiO 2 should read Si to SiO 2. Chapter 16 p. 500, sentence after equation (16.3), the relative dielectric constant of silicon should be 11.7. p. 501, figure 16.2, the voltages V g and V d should instead be V gs and V ds, respectively, to match the nomenclature used in the text. p. 511, figure 16.14, the label AL based metallurgy should read Al-based metallization.