Progress on ASML s EUV Alpha Demo Tool

Similar documents
EUVL Optics lifetime and contamination. European Update

Overview European EUVL programme

EUV Lithography Towards Industrialization

ASML Approach to Euv Reticle Handling

EUV lithography industrialization for HVM

Overview of EUV Lithography and EUV Optics Contamination

EUVL Readiness for High Volume Manufacturing

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Status of EUV Sources for Mask Metrology

EUV Lithography Status and Key Challenges for HVM Implementation

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

Analysis of carbon contamination on EUV mask using CSM/ ICS

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

EUREKA: A new Industry EUV Research Center at LBNL

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Actinic review of EUV masks: First results from the AIMS EUV system integration

EUVL for HVM: Progress Update

The Waferstepper Challenge: Innovation and Reliability despite Complexity

Resist-outgas testing and EUV optics contamination at NIST

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

IEUVI Mask Technical Working Group

Cost of Ownership Considerations for Maskless Lithography

High-resolution EUV Microstepper tool for resist testing & technology evaluation

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Cold Gas Thruster Qualification for FORMOSAT 5

Lecture 14 Advanced Photolithography

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

EUV lithography and Source Technology

Cost Implications of EUV Lithography Technology Decisions

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

Development of a Mask-Scan EB Mask Writing System

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Padraig Dunne, UCD School of Physics Dublin, Ireland.

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Critical Dimension Uniformity using Reticle Inspection Tool

High Brightness EUV Light Source for Metrology

R I T. Title: GCA Stepper Operations. Semiconductor & Microsystems Fabrication Laboratory Revision: F Rev Date: 08/09/ SCOPE

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Current development status of Shin-Etsu EUV pellicle

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Soft X - Ray Optics: Fundamentals and Applications

Status of multilayer coatings for EUV Lithography

Evaluation at the intermediate focus for EUV Light Source

UV2Litho Usable Vacuum Ultra Violet Lithography

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Carbon removal from trenches on EUV reticles

Ultraviolet LEDS as a Source of Emission for Resist Exposure on Printed Circuit Boards

Customized EUV optics made by optix fab

LISA Telescope Assembly Optical Stability Characterization for ESA

Tutorial Software as Integrating Technology in Complex Systems

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Measurement of EUV scattering from Mo/Si multilayer mirrors

Holographic method for detecting amplitude and phase-shift errors and features in EUV ML reticle blanks

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

LDLS Laser-Driven Light Source

JOHN R. SPORRE THESIS. Urbana, Illinois

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

193 nm STEP AND SCAN LITHOGRAPHY

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

EUV-Technology with Discharge EUV-Lamp"

Sensors and Metrology. Outline

UPDATED 7 SEPTEMBER 2018

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

High Brightness EUV Light Source System Development for Actinic Mask Metrology

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

LISA Telescope Assembly Optical Stability Characterization for ESA

JRA3: Technology development for high-time-resolution astronomy

The nature and characteristics of particles produced by EUV sources : exploration, prevention and mitigation Gielissen, K.

High Brightness EUV Light Source for Actinic Inspection & Microscopy

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

0. Table of contents. Author: Jaap Snijder

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

E152 Standard Revision: EUV-pod Reticle Carrier

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

The BIPM Watt Balance

A short pulsed laser cleaning system for EUVL tool

Reticle Blanks for Extreme Ultraviolet Lithography: Ion Beam,Sputter Deposition of Low Defect Density Mo/Si Multilayers

Enhanced Performance of Multilayer Optics for Water Window Microscopy

Cantilever enhanced tunable diode laser photoacoustic spectroscopy in gas purity measurement case study: acetylene in ethylene

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

1

Transcription:

Progress on ASML s EUV Alpha Demo Tool Noreen Harned 1, Peter Kuerz 3, Hans Meiling 2, Bas Mertens 5, Gregor van Baars 4 3rd International EUVL Symposium 2 November 2004 1 ASML, Wilton, CT; 2 ASML, Veldhoven, The Netherlands; 3 Carl Zeiss SMT,Oberkochen, Germany; 4 Philips CFT, Eindhoven, The Netherlands; 5 TNO TPD, Delft, The Netherlands / Slide 1 <file name> <version 00> <author>

Presentation Agenda EUV where are we going Key technology challenges source optics pellicleless reticle handling Progress on the first Alpha Demo tool Summary / Slide 2

EUV roadmap Process development tool Production NA Range 0.15 to 0.25 0.15 to 0.25 Imaging - Dense Lines 50 nm ->40 nm 32 nm - Isolated Lines 40 nm ->30 nm 18 nm - iso/dense contacts 65 nm ->55 nm 40 nm Overlay 12 nm 6 nm Throughput 10 WPH >80 WPH Notes: CDU = 10 % Resolution Throughput is at 300mm, 16mm x 32mm, 125 shots, 5mj/cm -2 Currently building two Alpha Demo tools for process development that will go to research centers end 2005 and early 2006. Pilot production tools are likely to be in late 2008 / early 2009 but will depend on market pull and success of 193 immersion. / Slide 3

What a difference 12 months makes! Source power Then, 2x away from Alpha Demo power needs and no clear path to high volume manufacturing (HVM) requirements Today, Alpha Demo requirement demonstrated; 3x away from HVM needs with a path to get there! Optics Then, promising data from in-process polishing of MET optics Today, all illumo mirrors made; 3 POB mirrors done; feasibility of meeting HVM specs demonstrated; AD mirror lifetime demonstrated at synchrotron! Pellicleless reticle handling Then, basic testing on material and particles looked promising Today, zero adders demonstrated on a test bed! Alpha Demo tool Then, some vacuum testing done; some assembly of modules started Today, modules are being integrated into the baseframe! / Slide 4

Presentation Agenda EUV where are we going Key technology challenges source optics pellicleless reticle handling Progress on the first Alpha Demo tool Summary / Slide 5

Source philosophy for Alpha Demo tool Communicate source requirements and monitor source development at key suppliers Keep source - tool interface modular so that a discharge source (current baseline) or laser produced plasma can be interfaced to the tool Do fundamental investigations on plasma physics and do independent testing on key parameters including debris mitigation using 3 in-house EUV sources Make sure that efforts are extendable to source requirements for production / Slide 6

Why the interest in Sn for the source fuel? For EUV to be commercially viable, a minimum throughput of 80 wph is needed and 100 wph is preferred for CoO For high throughput, EUVL is photon limited, so high output sources are required Comparing Sn to Xe, there is the potential for >3x more EUV photons at the wafer plane Conversion efficiency of Sn is ~2.5-4x that of Xe Sn has a smaller pinch and thus has ~1.5x better collection efficiency But, the photons are not free Debris mitigation is a bigger problem Sn fuel handling must be optimized 0.0 10 11 12 13 14 15 16 wavelength [nm] Most source suppliers are investigating Sn and Xe Spectral intensity [arb. units] 1.0 Xe - black line Sn - red line 0.8 0.6 0.4 0.2 EUV spectra at XTREME with tin and xenon / Slide 7

Fast progress on system productivity (source pi collector, burst power) EUV power at IF [W] 100.0 10.0 1.0 0.1 Xe Sn 2001 2002 2003 2004 2005 2006 2007 2008 2009 Achieved EUV power in Sn source (at intermediate focus) Conclusion supplier 1 supplier 2 Need >100W for >100 W/hr Need 22W for Alpha Demo tool, 10 W/hr with a π collector Sn source 1 mm CE~2% Production best result: tool source 25 W by power supplier requirement 1 and 42 within W by supplier 3x demonstrated. 2 / Slide 8

Presentation Agenda EUV where are we going Key technology challenges source optics pellicleless reticle handling Progress on the first Alpha Demo tool Summary / Slide 9

Optics fabrication is progressing All Illuminator mirrors have been fabricated Optics fabrication technol. and metrology reach alpha demo tool spec Interferometer All POB mirrors are nearing completion Precision: 38 pm! Three POB mirrors at final spec 1.486 m and coated Reflectivity > 64% / Slide 10

Presentation Agenda EUV where are we going Key technology challenges source optics pellicleless reticle handling Progress on the first Alpha Demo tool Summary / Slide 11

ASML s approach for pellicleless reticle handling Objectives for EUV Reticle Handling & Particle research: Generate knowledge for particle generation and mitigation to protect reticle from particle contamination Transfer knowledge to viable solutions for litho-tool Demonstrate that we can keep the reticle free of printable defects during in-tool handling and usage / Slide 12

Risk assessment (1 of 2) Risks during stay on reticle stage in litho tool have been addressed: Reticle handler vacuum robot Particle-free by design, qualified by manufacturer Reticle stage: Long stroke is located outside the vacuum chamber Short stroke is a contact-less design and does not produce particles Framing blades (ReMa): Contact-less mechanism using linear leaf-spring resulting in linear motion without direct contact. Other moving components and high speed gas flows are not present in this chamber Solutions identified by design / Slide 13

Risk assessment (2 of 2) High risks for reticle particle contamination during reticle handling in litho tool have been addressed: Mechanical contact (hit, slide, release) contact experiments with varying set of parameters Pump-down and gas flows venting and pumping schemes & particle mitigation experiments Interface to dirty outside world solve by design Different environments with moving components (I.e. vacuum gate valves) experiments shows that gate valve actions do not add particles Solutions validated through experiments & by design / Slide 14

ASML Reticle handling frame & Prototype Storage Box proposed handling areas (green areas) MAX PRINTABLE FIELD (4X) 104 x 132 (26 x 33 AT WAFER) Robot arm with RH frame Alpha Demo Storage Box / Slide 15

Frame, loadloack and box for RH have been optimized and proto HW is being built based on FuMo results added particles per cycle (#/reticle) 180 160 140 120 100 80 60 40 20 Improved proces control Test set-up FuMo Improved process control scan area: 7 cm 2 particle size: 100 nm scanned area scaled up to full reticle size 10 cycles per experiment 0 Conclusion 0 20 40 60 80 100 120 140 0 July added 2003 defects are routinely experiment achieved number in reticle handling (FuMo April setup) 2004 / Slide 16

Presentation Agenda EUV where are we going Key technology challenges source optics pellicleless reticle handling Progress on the first Alpha Demo tool Summary / Slide 17

Layout of the Alpha Demo tool service corridor reticle stage sourcecollector module baseframe reticle handler servo, vacuum, water, electronics cabinets wafer stage wafer handler / Slide 18

Factory ASSY AD-1: BF, airmounts, MF assy Qualification Base Frame 1.0E+03 1.0E+02 1.0E+01 1.0E+00 Pressure [mbar] 1.0E-01 1.0E-02 1.0E-03 1.0E-04 1.0E-05 1.0E-06 1.0E-07 0 1 2 3 4 5 6 7 8 9 10 Time [hours] Results: H 2 O outgas-rate (10h) : 1.2 10-4 mbar l/s (spec: 1.5 10-4 mbar l/s) CxHy outgas-rate (10h) : 0.9 10-6 mbar l/s (spec: 1.5 10-6 mbar l/s) / Slide 19

System vacuum performance: BF, airmounts, MF, LS, AA, IFM, ZS Base Frame @ ASML-4 1.0E+03 1.0E+02 (10-Aug- 04) 1.0E+01 1.0E+00 Pressure[mbar] 1.0E-01 1.0E-02 1.0E-03 Driver: optics lifetime! 1.0E-04 1.0E-05 1.0E-06 1.0E-07 Results: Conclusion 0 1 2 3 4 5 6 7 8 9 10 Time[hours] H 2 O pressure: 4.5x10-7 mbar (specification: 6.1x10-7 mbar) vacuum specifications of AD tool in current state are comfortably met CxHy pressure: 2.8x10-9 mbar (specification: 5.2x10-9 mbar) / Slide 20

Wafer Handler Status - assembled - vacuum and temperature qualified - takeover testing finished (reproducibility, reliability) - handler ready for integration / Slide 21

nr of waferloads 1/4/2004 2/4/2004 3/8/2004 3/9/2004 3/22/2004 5/5/2004 5/9/2004 5/11/2004 5/11/2004 5/13/2004 6/1/2004 6/1/2004 6/1/2004 6/3/2004 6/7/2004 6/9/2004 6/15/2004 6/21/2004 6/23/2004 6/28/2004 7/5/2004 7/6/2004 7/6/2004 7/12/2004 7/15/2004 7/28/2004 8/31/2004 1800 1600 1400 1200 1000 800 600 400 200 0 Wafer Flow: reliability of wafer exchange Type of error: 1: PA-LR take-over error 2: (U)LL pumpdown sequence error 3: Wafer Present error 2 1 1 1 3 1 2 2 3 2 2 1 1 1 more than 20,000 wafers cycled (>17k through Proto) all errors recovered by WH initialise (no mechanical failures!) / Slide 22 WH Proto WH Fumo 31-8-2004 11/3/2003 11/10/2003

Reticle Handler: proto & gripper RH gripper with dummy reticle pressure (mbar) 1E-02 1E-03 1E-04 1E-05 1E-06 1E-07 Pumpdown Robot 28-10-2003 specification: H2O = 1e-7 mbar p total AMU 18 1E-08 0 1 2 3 4 5 6 7 8 9 10 time (hrs) Movement [um] / [urad] 60,00 40,00 20,00 0,00-20,00-40,00-60,00-80,00-100,00 1 7 22sep2003a reticle takeover gripper - clamp (relative) reticle loose in frame vacuum 13 19 25 31 37 43 49 55 61 Measurement number 67 73 X [um] Y [um] Rz [urad] 79 85 91 97 specification: x,y:15 µm Rz:150 µrad / Slide 23

Reticle stage MSD MAVG / Slide 24

Wafer stage MSD MAVG / Slide 25

Presentation Agenda EUV where are we going Key technology challenges source optics pellicleless reticle handling Progress on the first Alpha Demo tool Summary / Slide 26

Summary (I) Realization of the exposure tool Key technology areas ASML is working all to support commercialization: Source (power, collector lifetime): AD source power is demonstrated production tool power requirement within 3x demonstrated, Sn mitigation solutions being validated. Optics (fabrication, lifetime): AD fabrication technology & lifetime (at synchrotron) demonstrated production tool figure polishing specifications being approached on AD mirrors, and required flare level demonstrated on MET mirror. Lifetime solutions identified and being validated. Defect-free mask handling Zero added particles during reticle handling on FuMo demonstrated. Vacuum technology (frames, stages, handlers): Baseframe + metroframe vacuum qualified, stages meet performance in air set ups, material cycling using handler FuMos: specs are demonstrated Realization of our pre-production Alpha Demo tool is well under way, with key functionality being demonstrated and integration ongoing / Slide 27

Summary (II) Infrastructure for commercialization Key infrastructure areas Industry & ISMT are working to support commercialization: Mask Blanks (yield, defectivity): mask blanks with 0.3 defects/cm 2 (average) & 0.05 defects/cm2 (best) demonstrated; best meets spec for AD tool 0.003 defects/cm 2 production tool spec for to yield 3 out of 4 defect free masks is still a challenge Resist (LER, sensitivity, resolution): new resists have been developed with improved sensitivity, higher resolution, and lower LER. performance is not limited by shot noise resolution @ < 40nm has been demonstrated satisfying all three spec s simultaneously is still a challenge Multiple (>4) small field exposure tools are being brought to operation this year, to further the world-wide development of both resist and defect-free imaging. / Slide 28

Acknowledgement Thanks to a great team effort at ASML Zeiss TNO TPD Philips PTB-BESSY FOM-Rijnhuizen Rohm & Haas International SEMATECH DARPA the VNL and many others with support from national governments, MEDEA+ and European Commission / Slide 29