ALD deposited ferroelectric HfO 2

Similar documents
Ferroelectric HfO 2 Thin Films

Ferroelectric Transistors with Monolayer Molybdenum Disulfide and. Ultra-thin Aluminum-Doped Hafnium Oxide

MaxCaps Next Generation Dielectrics for Integrated Capacitors

Inductive crystallization effect of atomic-layerdeposited

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

Challenges and Opportunities. Prof. J. Raynien Kwo 年

Review. Ferroelectric HfO 2 -based materials for next-generation ferroelectric memories

Steep Slope Transistors beyond the Tunnel FET concept. David Esseni, University of Udine

Electrical Characterization with SPM Application Modules

Steep-slope WSe 2 Negative Capacitance Field-effect Transistor

Chapter 1. Introduction

Pyroelectric Response in Crystalline Hafnium Zirconium Oxide (Hf 1-x Zr x O 2 ) Thin Films

Multiple Gate CMOS and Beyond

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Electrical and Reliability Characteristics of RRAM for Cross-point Memory Applications. Hyunsang Hwang

New Ferroelectric Material for Embedded FRAM LSIs

How a single defect can affect silicon nano-devices. Ted Thorbeck

Design and Simulation of Short Channel Si:HfO2 Ferroelectric Field Effect Transistor (FeFET)

Low Frequency Noise in MoS 2 Negative Capacitance Field-effect Transistor

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

Influence of electrode materials on CeO x based resistive switching

Supplementary Information for. Non-volatile memory based on ferroelectric photovoltaic effect

Moores Law for DRAM. 2x increase in capacity every 18 months 2006: 4GB

A Ferroelectric Semiconductor Field-Effect Transistor

ECE 340 Lecture 39 : MOS Capacitor II

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

JUNCTION LEAKAGE OF A SiC-BASED NON-VOLATILE RANDOM ACCESS MEMORY (NVRAM) K. Y. Cheong ABSTRACT INTRODUCTION

Negative-Bias Temperature Instability (NBTI) of GaN MOSFETs

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

Recent Development of FinFET Technology for CMOS Logic and Memory

Quantum Mechanical Simulation for Ultra-thin High-k Gate Dielectrics Metal Oxide Semiconductor Field Effect Transistors

CHAPTER-1 INTRODUCTION

Low leakage ZrO 2 based capacitors for sub 20 nm DRAM technology nodes

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Laser Annealing of MOCVD Deposited Ferroelectric SrBi 2 Ta 2 O 9, Pb(Zr X Ti 1-X )O 3 and CeMnO 3 Thin Films

Floating Gate Devices: Operation and Compact Modeling

Vanadium Dioxide (VO 2 ) is also a Ferroelectric: Properties from Memory Structures

CVD: General considerations.

NRAM: High Performance, Highly Reliable Emerging Memory

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Reliability and Instability of GaN MIS-HEMTs for Power Electronics

Defects in Semiconductors

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Ferroelectricity in yttrium-doped hafnium oxide

During such a time interval, the MOS is said to be in "deep depletion" and the only charge present in the semiconductor is the depletion charge.

Supporting Information for: Sustained sub-60 mv/decade switching via the negative capacitance effect in MoS 2 transistors

Analysis of Band-to-band. Tunneling Structures. Title of Talk. Dimitri Antoniadis and Judy Hoyt (PIs) Jamie Teherani and Tao Yu (Students) 8/21/2012

High Dielectric Constant (k) Materials

Lecture 12: MOS Capacitors, transistors. Context

Mechanism of Switching and Related Challenges in Transition Metal Oxide Based RRAM Devices

Gate Carrier Injection and NC-Non- Volatile Memories

A Universal Memory Model for Design Exploration. Ketul Sutaria, Chi-Chao Wang, Yu (Kevin) Cao School of ECEE, ASU

Magnetic core memory (1951) cm 2 ( bit)

This is the author s final accepted version.

R&D Issues for High-k Gate Dielectrics

Computational Science Studies toward Future Nano-Devices. Kenji Shiraishi. University of Tsukuba

3D Stacked Buck Converter with SrTiO 3 (STO) Capacitors on Silicon Interposer

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

Agenda. 1. Atomic Layer Deposition Technology

MODELING OF CV CHARACTERISTICS OF HIGH-k MIM CAPACITORS

Electronics with 2D Crystals: Scaling extender, or harbinger of new functions?

Control of Flat Band Voltage by Partial Incorporation of La 2 O 3 or Sc 2 O 3 into HfO 2 in Metal/HfO 2 /SiO 2 /Si MOS Capacitors

CURRICULUM VITAE HUAMIN LI UPDATED: DECEMBER 1, 2015 MAIN RESEARCH INTERESTS EDUCATION

Improved Interfacial and Electrical Properties of GaSb Metal Oxide

Extrinsic and Intrinsic Frequency Dispersion of High-k Materials in Capacitance-Voltage Measurements

Advanced Flash and Nano-Floating Gate Memories

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

Chapter 3 Basics Semiconductor Devices and Processing

Enhanced Mobility CMOS

ALD high-k and higher-k integration on GaAs

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Experimental and Theoretical Study of Electrode Effects in HfO2 based RRAM

Thin Film Transistors (TFT)

Effective Capacitance Enhancement Methods for 90-nm DRAM Capacitors

Carbon Nanotubes in Interconnect Applications

Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Digital Integrated Circuits A Design Perspective

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

RECENTLY, (Ba, Sr)TiO thin films have been intensively

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Lecture 15 OUTLINE. MOSFET structure & operation (qualitative) Review of electrostatics The (N)MOS capacitor

Supporting Information

3D capacitors on silicon with high density pore network and ZrO2 dielectric films deposited by MOCVD

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

DKDT: A Performance Aware Dual Dielectric Assignment for Tunneling Current Reduction

AN ABSTRACT OF THE THESIS OF

Optimization of the Dielectric Constant of a Blocking Dielectric in the Nonvolatile Memory Based on Silicon Nitride

Electric-Field Induced F - Migration in Self-Aligned InGaAs MOSFETs and Mitigation

Lecture 6 PN Junction and MOS Electrostatics(III) Metal-Oxide-Semiconductor Structure

Comparative studies of Ge and Si p-channel metal oxide semiconductor field-effect-transistors with HfSiON dielectric and TaN metal gate

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

High tunable dielectric response of Pb 0.87 Ba 0.1 La 0.02 (Zr 0.6 Sn 0.33 Ti 0.07 ) O 3 thin film

Part 4: Heterojunctions - MOS Devices. MOSFET Current Voltage Characteristics

Ferroelectric Field-Effect Transistors Based on MoS 2 and

5. Building Blocks I: Ferroelectric inorganic micro- and nano(shell) tubes

Si Based Mis Devices with Ferroelectric Polymer Films for Non-Volatile Memory Applications

Nanocarbon Interconnects - From 1D to 3D

On the Ferroelectric Polarization Switching of Hafnium. Zirconium Oxide in Ferroelectric/Dielectric Stack

Transcription:

ALD deposited ferroelectric HfO 2 S. Slesazeck 1, U. Schroeder 1, E. Yurchuk 1, J. Müller 2, S. Müller 1, D. Martin 1, T. Schenk 1, C. Richter 1,C. Adelmann 3, S. Kalinin 5, A. Kersch 7, and T. Mikolajick 1,4 1 3rd ALD Symposium - SEMICON Europa October 7 th, 2014 2 3 5 6 7 1

Outline 1. Motivation: Ferroelectricity in HfO 2 2. Stabilization of the Ferroelectric HfO 2 Phase 3. Device Application: 1T FeFET Memory 4. Summary 2

Outline 1. Motivation: Ferroelectricity in HfO 2 2. Stabilization of the Ferroelectric HfO 2 Phase 3. Device Application: 1T FeFET 4. Summary 3

Motivation: Ferroelectric HfO 2 Ferroelectrics enable fast low power non-volatile memories 130nm FRAM e.g. FRAM: - current scaling limit: 130 nm due to material properties new material necessary TI & Ramtron A lot of industry experience CMOS sub 30 nm DRAM integrating g HfO 2 / ZrO 2: - CMOS compatible - scalability well below 50nm - ALD process available chipworks - ferroelectric properties (IEDM 2011 / VLSI 2012 / IEDM 2013) 4

Motivation: 1T FeFET memory Performance advantages: non-volatility non-destructive readout low power consumption switching speed in ns-time range low operation voltages Metal-Gate Ferroelectric Semiconductor n+ n+ no polarization p-substrate t Idrain 1 0 low Vth high Vth Vgate 5

Motivation: 1T FeFET memory Metal-Gate Performance Ferroelectric + - - - + advantages: Semiconductor n+ + + + n+ non-volatility p-substrate t non-destructive readout low power Idrain 0 consumption switching speed in high Vth ns-time range low operation voltages Vgate 6

Motivation: 1T FeFET memory Metal-Gate Performance Ferroelectric advantages: non-volatility Semiconductor non-destructive readout low power Idrain 1 consumption low Vth switching speed in ns-time range low operation voltages - + - + - + - - - n+ n+ p-substrate t Vgate 7

Outline 1. Motivation: Ferroelectricity in HfO 2 2. Stabilization of the Ferroelectric HfO 2 Phase 3. Device Application:1T FeFET 4. Summary 8

HfO 2 phase stabilization Anneal + Doping Amorphous HfO 2 2 Anneal 1 Low-symmetry / lower-k phase + Doping High-symmetry / high-k phases Non-centrosym. / Non-centrosym. / FE phase AFE phase Spinodal 4 De-mixing Cubic Fm3m or Orthorhombic Pbc2 1 Tetragonal P4 2 /nmc depending on dopant Tetragonal* Monoclinic P2 1 /c 1 Tetragonal/Cubic 14 Si 38 Sr 39 Y 13 Al 40 Zr 64 Gd 14 Si 13 Al 9

ALD Process: doped HfO 2 nanolaminates Other precursors used for dopant supercycles: tetrakis(ethylmethylamino)hafnium (TEMAHf) hafnium tetrachloride (HfCl 4 ) silicon tetrachloride (SiCl 4 ) Pt Ti TiN Pt Ti TiN tetrakis(dimethylamino)silane (4DMAS) tris(dimethylamino)silane (3DMAS) HfO 2 SiO 2 tris(isopropylcyclopentadienyl)gadolinium (Gd( i PrCp) 3 ) tris(methylcyclopentadienyl)-yttrium (Y(MeCp) 3 ) TiN Native SiO 2 Si- wafer strontium di-tert-butylcyclopentadienyl (Sr( t Bu 3 Cp) 2 ) and trimethylaluminium (TMA) + water, ozon or O 2 -plasma 10

Capacitor Route Route Layer depositi on Anneal + Pt Wet Etch dots Silicon Electrode Deposition HfO 2 deposition Platinum dots Simple capacitor processing 11

Effect of Si -Doping lacement [ C C/cm2] Electric Disp Capac citance [ F/c cm2] 12 60 40 20 0-20 -40-60 4.5 4.0 35 3.5 3.0 2.5 2.0 1.5 Electric Field [MV/cm] Para FE AFE SiO 2 0 mol % 4.4 mol % 5.6 mol % 6.6 mol % 8.5 mol % -3 0 3-3 0 3-3 0 3-3 0 3-3 0 3 0 mo % SiO 2 4,4 mol % 9 nm 5,6 Si:HfO mol % 2 after 6,6 mol 800 % o C 8,5 Anneal mol % -3 0 3-3 0 3-3 0 3-3 0 3-3 0 3 Electric Field [MV/cm] Pt TiN Si:HfO 2 TiN Si-substrate Increase of Si content concentration Change of electrical properties : Effect was confirmed by polarisation and capacitance -voltage measurements P r ~ C(V)dV E. Yurchuk et al., Thin Solid Films 2012 A. Toriumi at al. APL 86, 2006

Correlation to HfO 2 phase C. Richter BALD 2014 Ferroelectricity observed when orthorhombic h phase dominant 13

Different HfO 2 dopants dopant range paraelectric antiferroelectric ( ( ) ferroelectric P paraelectric 0 0 E Ferroelectricity visible for dopands with different crystal radius Antiferroelectricity only for dopands with radius smaller than HfO 2 Dopant range larger for higher crystal radius Schroeder et al., JSS 2012/JJAP 2014 14

Different HfO 2 dopants - polarization Maximum polarization i typically at about 3-6 mol% dopant concentration Schroeder et al., JJAP 2014 15

Outline 1. Motivation: Ferroelectricity in HfO 2 2. Stabilization of the Ferroelectric Phase 3. Device Application: 1T FeFET 4. Summary 16

Ferroelectric Device Application: Field Effect 1T Transistor FeFET 28nm N-channel MFIS-FET Memory Window 20 nm liner W (ma) Dra ain current 10 + - + - + - n+ + - + - + - n+ L G : 28 nm 1 +5V 100 ns I TH erase 0.1 MW program -5V 100 ns 001 0.01 1E-3 - + - + - + n+ - + - + - + n+ -1.0 10-0.5 05 00 0.0 05 0.5 10 1.0 15 1.5 Gate bias (V) World s first 28nm FeFET Memory window ~1V 17 E. Yurchuk et al., IEEE TED 2014

Device Application: 1T FeFET Endurance Retention 0.5 ~09V 0.9V K. Khullar Master Thesis V V V) Volts) TH t (V 0.0-0.5-1.0-1.5 25 o C 85 o C 125 o C MW after 10 years (V) 1.2 0.9 0.6 03 0.3 25 o C 0.0 85 o C 125 o C 10 years -2.0 10-1 10 0 10 1 10 2 10 3 10 4 10 5 10 6 10 7 10 8 Time (s) Time (s) E. Yurchuk et al., IEEE TED 2014 Memory window after 10 5 cycles: ~0.9V Accumulation of asymmetric ti charge injection closes MW Detrap pulse can recover memory window Memory window after 10 years: ~1.0V 18

Device Application: 1T FeFET Endurance Ferroelectric FET Ferroelectric MIM Cap ~09V 0.9V K. Khullar Master Thesis K. Yurchuk PhD Thesis Cycling in capacitor limited it by breakdown Cycling in transistor limited by charge trapping 19

Device Endurance Application: 1T FeFET Endurance Ferroelectric FET Gate leakage current ~09V 0.9V I 2 G (A/cm ) 0.1 Number of program/erase cycles: initial 5x10 3 1E-3 100 10 4 10 3 2x10 4 1E-5 2x10 3 5x10 4 1E-7 K. Khullar Master Thesis -2-1 0 1 2 V G (V) E. Yurchuk et al., IPRS 2014 Gate leakage current increases with program/erase cycling 20

Device Application: 1T FeFET Interfacial traps I m 2 CP (ma/cm ) 250 200 150 100 50 0 Variable base level charge pumping N CP (Traps/cm m 2 ) 10 x10 11 5 0 10 0 10 1 10 2 10 3 10 4 Number of cycles initial after 5x10 3 cycles after 2x10 3 cycles after 10 3 cycles -2-1 0 1 V GB (V) E. Yurchuk et al., IPRS 2014 Generation of interface traps is the root cause of degradation Interplay between SiO2-interface and ferroelectric HfO has to be optimized 21

DRAM like FeFET: CH Cheng et al. IEEE EDL 35, 1, 2014-30nm ZrHfO in FeFET: +/- 4V switching Switching in sub-cycles - Switching time: 5ns 10 12 endurance, but low retention: ~10s Changed operation conditions can significantly improve cycling 22

Comparison NOR Flash vs. AND FeFET NOR Flash AND FeFET DRAM DRAM spec FeFETFET Write/Erase Speed 1μs/2ms 10 ns/10ns ns 5ns Read Speed 10μs 20ns ns? Retention 10 yrs 10 yrs >64ms 10s Endurance 10 5 cycles 10 4 cycles >10 15 >10 12 Write/Erase Voltage 10-20V 5V 0.5V 4V FeFET meets some FLASH and DRAM specifications 23

Scaling of FeFET grain and domain size Grain size ~30nm TEM Domain size ~300nm Domains and grains before Domains Domains device and grains and grains structuring underneath underneath PFM 250nm device 25nm device - Scaling likely l possible, but needs to be checked - low variability of switching characteristics on smallest devices 24

Piezo Force Microscopy Dielectrics Piezoelectrics Pyroelectrics Ferroelectrics Ref.: http://en.wikipedia.org/wiki/ Piezoresponse_Force_Microscopy - Local distribution - Phase: Polarization direction detectable D. Martin @ Oak Ridge Nat. Labs 25

Piezo Force Measurements 3 nm nm 2 1 a.u. +4.2 V -4.2 V 180 0 0-180 Topography Piezo responce Phase polarization value visible two polarization direction - Most HfO 2 grains switchable - PFM serves as base for optimization of film composition D. Martin et al., Adv. Mat. submitted U. Schroeder et al., IWDTF 2013/ and crystallization on simple capacitor structures JJAP 2014 26

Outline 1. Motivation: Ferroelectricity in HfO 2 2. Stabilization of the Ferroelectric HfO 2 Phase 3. Ferroelectric Switching Behavior 4. Device Application: 1T FeFET 5. Summary 27

Summary Material: A ferroelectric phase in HfO 2 thin films can be stabilized Ferroelectric phase most likely orthorhombic phase Several stabilizing dopants have been identified Ferroelectric Devices: 1T/1C: FE-HfO 2 adds the 3rd dimension to FRAM scaling World s first 28nm FeFET device HfO 2 -based FeFET added to ITRS roadmap in 2014: Most promising Emerging Memory concept FeFET meets already some DRAM and FLASH specification Superior control of dopant concentration in ALD nanolaminates and usbsequent crystallization of the film is mandatory 28

Thank you for your attention This work was supported in part by the EFRE fund of the European Commission within the scope of technology development and in part by the Free State of Saxony (Project: Cool Memory, Heiko, Merlin) and by funding of the Deutsche Forschungs Gemeinschaft(DFG) (Project: Inferox) 29

Thanks to the FeFET TEAM: 2 3 4 5 6 7 8 9 and many more: U. Schröder 1, E. Yurchuk 1, J. Mueller 2, S. Mueller 1, T. Mikolajick 1 T. Boescke 4, D. Martin 1, D. Zhou 1, J. Sundqvist 2, P. Polakowski 2, T. Schenk 1, U. Boettger 5, D. Braeuhaus 5, S. Starschich 5, C. Adelmann 6, M. Popovici 6, T. Schloesser 3, M. Trentzsch 3, M. Goldbach 3, R.v. Bentum 3, S. Knebel 1, T. Olsen 1, R. Hoffmann 2, J. Paul 2, R. Boschke 3, A. Kumar 7, T.M. Arruda 7, S.V. Kalinin 7, M. Alexe 8, A. Morelli 8, A.Kersch 9, R. Maverick 9 30 1