Spring Lecture 4 Contamination Control and Substrate Cleaning. Nanometer Scale Patterning and Processing

Similar documents
MEGASONIC CLEANING OF WAFERS IN ELECTROLYTE SOLUTIONS: POSSIBLE ROLE OF ELECTRO-ACOUSTIC AND CAVITATION EFFECTS. The University of Arizona, Tucson

Pattern Transfer- photolithography

PARTICLE ADHESION AND REMOVAL IN POST-CMP APPLICATIONS

Direct Measurement of Metallic Impurities in 20% Ammonium Hydroxide by 7700s/7900 ICP-MS

The Removal of Nanoparticles from Nanotrenches Using Megasonics

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Regents of the University of California

MS482 Materials Characterization ( 재료분석 ) Lecture Note 12: Summary. Byungha Shin Dept. of MSE, KAIST

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Fabrication Technology, Part I

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

CVD: General considerations.

ETCHING Chapter 10. Mask. Photoresist

NANO AND MICROSCALE PARTICLE REMOVAL

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Evaluation of Cleaning Methods for Multilayer Diffraction Gratings

Wet and Dry Etching. Theory

Sample Analysis Design. Solution Mode

Introduction to Photolithography

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

SPCC Department of Bio-Nano Technology and 2 Materials Science and Chemical Engineering, Hanyang University, Ansan, 15588, Republic of Korea.

Section 3: Etching. Jaeger Chapter 2 Reader

Device Fabrication: Etch

Aspects of Single Wafer Cleans Processing and Tools

Post Tungsten CMP Cleaner Development with Improved Organic and Particle Residue Removal on Silicon Nitride and Excellent Tungsten Compatibility

What type of samples are common? Time spent on different operations during LC analyses. Number of samples? Aims. Sources of error. Sample preparation

Determination of Impurities in Silica Wafers with the NexION 300S/350S ICP-MS

Sampling. Information is helpful in implementing control measures for reducing pollutant concentration to acceptable levels

Experiment 1: Thin Layer Chromatography

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

Two-Dimensional (C 4 H 9 NH 3 ) 2 PbBr 4 Perovskite Crystals for. High-Performance Photodetector. Supporting Information for

ICP-MS Analysis of Bulk & Process Chemicals for Semiconductor Processes. Ann O Connell Chemical Analysis Eng Intel Corporation

CHAPTER 3. FABRICATION TECHNOLOGIES OF CdSe/ZnS / Au NANOPARTICLES AND NANODEVICES. 3.1 THE SYNTHESIS OF Citrate-Capped Au NANOPARTICLES

CHAPTER 6: Etching. Chapter 6 1

Photolithography 光刻 Part II: Photoresists

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Microorganisms. Dissolved inorganics. Native vs. Introduced; Oligotrophic vs. Eutrophic Millions to billions per ml or g Complex consortia

Lecture 0: Introduction

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

EXPERIMENT 7 Reaction Stoichiometry and Percent Yield

Hybrid Wafer Level Bonding for 3D IC

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

CEINT/NIST PROTOCOL REPORTING GUIDELINES FOR THE PREPARATION OF AQUEOUS NANOPARTICLE DISPERSIONS FROM DRY MATERIALS. Ver. 2.0

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Fall 2011 CHEM Test 4, Form A

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

Gold nanothorns macroporous silicon hybrid structure: a simple and ultrasensitive platform for SERS

Film Deposition Part 1

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

SYNTHESIS OF INORGANIC MATERIALS AND NANOMATERIALS. Pr. Charles Kappenstein LACCO, Laboratoire de Catalyse en Chimie Organique, Poitiers, France

Supplementary Figure 1. Temperature profile of self-seeding method for polymer single crystal preparation in dilute solution.

Name Period Date. Lab 10: Paper Chromatography

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Current State of Extraction Don t Be Deceived! Sharon F. Webb, Ph.D. Director of Quality Program

Model 2300XP PSL & Process-Particle Wafer Deposition System

Evaluation of the plasmaless gaseous etching process

Basic Digestion Principles

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Lecture 7 Contact angle phenomena and wetting

Reactive Ion Etching (RIE)

Holographic Characterization of Agglomerates in CMP Slurries

In Situ and Real-Time Metrology during Cleaning, Rinsing, and Drying of Microand Nano-Structures

Supporting Information

Organization of silica spherical particles into different shapes on silicon substrates

Etching: Basic Terminology

Plasma Surface Modification for Cleaning and Adhesion Ron Nickerson, Vice President AST Products, Inc. Billerica, MA

(50 pts.) 26. (24 pts.) 27. (8 pts.) 28. (18 pts.) TOTAL (100 points)

CHAPTER 11: Intermolecular Forces, Liquids, and Solids. Are there any IDEAL GASES? The van der Waals equation corrects for deviations from ideality

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Graphene The Search For Two Dimensions. Christopher Scott Friedline Arizona State University

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Auger Electron Spectroscopy (AES)

DIFFERENT TYPES OF INTEMOLECULAR FORCES INTERMOLECULAR FORCES

Water and Aqueous Systems

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Characterization of Post-etch Residue Clean By Chemical Bonding Transformation Mapping

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

SUPPLEMENTARY INFORMATION

MICROCHIP MANUFACTURING by S. Wolf

Fabrication of ordered array at a nanoscopic level: context

Supplementary Information. Rapid Stencil Mask Fabrication Enabled One-Step. Polymer-Free Graphene Patterning and Direct

LECTURE 5 SUMMARY OF KEY IDEAS

Plasma Deposition (Overview) Lecture 1

Supporting information

Techniques for the Analysis of Organic Chemicals by Inductively Coupled Plasma Mass Spectrometry (ICP-MS)

Large Scale Direct Synthesis of Graphene on Sapphire and Transfer-free Device Fabrication

Direct Analysis of Photoresist Using Inductively Coupled Plasma Mass Spectrometry (ICP-MS) Application

PRINCIPLE OF ICP- AES

Application. Determination of Trace Metal Impurities in Semiconductor-Grade Hydrofluoric Acid. Authors. Introduction. Abstract.

Chapter 11 Properties of Solutions

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

States of Matter. Solid. Liquid. Gas Plasma

Unit 6 Solids, Liquids and Solutions

NSF Center for Micro and Nanoscale Contamination Control

Transcription:

Nanometer Scale Patterning and Processing Spring 2016 Lecture 4 Contamination Control and Substrate Cleaning

Contaminants A substance causes uncontrolled variations in the (electrical) performance of the device or in the device fabrication process Contaminants are defects Excessive cleaning may also create defects E.g. excessive etching of the Si surface Maybe observed visually or may only be detected with sophisticated analytical equipment during the inspection or final device test Balance and tradeoff No excessive cleaning Remove unwanted contamination Not contribute to undesired defects

Contaminations & Counter-measures Atomic and molecular Adsorbed ions and elements Adsorbed gases Microscopic Thin films Particle related Discrete particles Particulates (clusters of particles) Particles (number and size) Filtering, brushing and megasonics Inorganic contaminants Aqueous cleaning solutions Organic contaminants Removed using strong oxidizers Impurities Gettering

Semiconductor Wafer Cleaning Technology for CMOS Processing Critical challenges for front end of line (FEOL) Removal of small particles (< 45 nm) Minimal damage to narrow (<1 nm) gate lines Minimal Si/SiO 2 consumption (<4 micron) Watermark-free drying for hydrophobic/hydrophilic combination surface Critical challenges for back end of line (BEOL) Damage-free cleaning to the low-k material Removal of small particles (< 45 nm) Watermark-free drying for hydrophobic/hydrophilic combination surface

Cleaning post-gate features

Critical Components for cleaning of BEOL Structures

Critical Impurity Elements for Si Devices Heavy metals (most critical) Cu, Fe, Ni, Cr, Co, Mo Alkali metals (critical) Na, K, Li, Ca Other elements (least critical) Al, Mg, C, S, CI, F

Sources of Contamination Humans Emits 10 5 particles (>0.3 µm) per minute Chemicals and process gases Process equipment Evaporators, Etchers, CVD tools Plumbing Handling

Air filtering air in, with about 1 million particles per cubic ft s1 HEPA Filter laminar flow out, with 1 to 100 particles per cubic ft Cleanrooms has laminar flow from roof to floor. Cleanroom classification: the number of particles per cubic foot that are greater than 0.5 µm in diameter Class 1,000-10,000: clean general purpose lab Class 10-100: very clean lab space, general cleanroom Class 1-10: Commercial Fabs Class 0.1-1: Most advanced IC process lines

Various Standards in Cleanroom Classification http://en.wikipedia.org/wiki/cleanroom US FED STD 209E cleanroom standards particle/ft³ Class 0.1 µm 0.2 µm 0.3 µm 0.5 µm 5 µm 1 35 7 3 1 10 350 75 30 10 100 750 300 100 1,000 1,000 7 10,000 10,000 70 100,000 100,000 700

ISO 14644-1 cleanroom standards particle/m³ Class 0.1 µm 0.2 µm 0.3 µm 0.5 µm 1 µm 5 µm ISO 1 10 2 ISO 2 100 24 10 4 ISO 3 1,000 237 102 35 8 ISO 4 10,000 2,370 1,020 352 83 ISO 5 100,000 23,700 10,200 3,520 832 29 ISO 6 1,000,000 237,000 102,000 35,200 8,320 293 ISO 7 352,000 83,200 2,930 ISO 8 3,520,000 832,000 29,300 ISO 9 35,200,000 8,320,000 293,000

Cleanroom class comparison ISO 14644-1 FED STD 209E ISO 3 1 ISO 4 10 ISO 5 100 ISO 6 1,000 ISO 7 10,000 ISO 8 100,000

Detection of Contaminations For Particles: Light scattering Gas phase Wafer surface Automation For organics: Wetting

Wetting angles v-vapor L - liquid v-vapor θ L- liquid θ S-solid S5 S-solid

Classification of Contamination and Defects Classification according to detection method Metallic contamination Total reflection X-ray fluorescence (TXRF) Atomic absorption spectrometry (AA) Inductively coupled plasma-mass spectrometry (ICP-MS) Particle contamination Detected with light scattering tools Organic contamination Thermal desorption mass spectrometry X-ray photoelectron spectroscopy Auger electron spectroscopy Surface defectivity (roughness of film surface or Line edge roughness) Atomic force microscopy (AFM) Atmospheric molecular contamination (AMC) and moisture Ion mass spectrometry Capillary electrophoresis

Wafer Cleaning and Surface Conditioning Technology small particle bonding film substrate S8 Liquid processes Dertergent plus Ultrasonic Megasonic agitation High pressure water jet (300-3000 psi) Gas-phase methods High pressure argon or CO 2 jet. Wafer rinsing and drying techniques Excimer lasers

Liquid Processes and Wafer Drying Techniques Diluted HF solutions: 1:50 or 1:100 of 49 wt% HF:DI H 2 O To remove 1.0-1.5nm thick native oxide Changes the wafer surface from hydrophilic to hydrophobic Hydrogen passivation of the Si surface Can also desorb metallic particles Buffered oxide etch (BOE or BHF) Mixture of 7:1 40 wt% NH 4 F and 49 wt% HF More stable rate and prevents loss of photoresit polymer in acidic environment HF 2 is the enthant

Removal of residual organics (including resists) Oxygen plasma etching (ashers) H 2 O 2 and H 2 O, especially at boiling temperature Piranha cleaning; UV/ozone. Acetone Methanol IPA

H 2 SO 4 /H 2 O 2 Mixtures (Piranha Etch) 98 wt% H 2 SO 4 and 30 wt% H 2 O 2 Volume ratios of 2:1 4:1 Temperature 100 130 C Time: 10 15 minutes Destroys organic contaminants by oxidation Contaminates Si surface with sulfur residues Goggles, face shields, and plastic gloves needed Diluted HF dipping of 15 seconds is recommended Alternatives: Add (NH 4 ) 2 SO 4 (known as SA-80) Add H 2 S 2 O 4 (peroxydisulfuric acid, or Caros acid) Add ozonated H 2 O, i.e. DI H 2 O/O 3

RCA Standard Cleaning (RCA Cleaning) Developed at radio corporation of america Standard Clean 1 (SC-1) 27 wt% NH 4 OH: 30 wt% H 2 O 2 : DI H 2 O Volume ratio 1:1:5 (range from 1:1:5 to 1:2:7) Standard Clean 2 (SC-2) 37 wt% HCl: 30 wt% H 2 O 2 : DI H 2 O Volume ratio 1:1:6 (range from 1:1:6 to 1:2:8) Temp: 75-85 C for 10-20 minutes Quench and overflow rinse in running DI water, followed by spun dry Immediately transferred to boxes with pre-filtered N 2 for storage if not immediately processed Exact composition are not critical

SC-1 Removes organic contaminants from Si, oxide and quartz NH 4 OH Solvating action Provide alkaline environment for H 2 O 2 Complexing some periodic group IB and IIB metals Cu, Au, Ag, Zn and Cd, as well as Ni, Co and Cr. H 2 O 2 Oxidizing action in alkaline environment is relatively powerful SC-1 dissolves the thin native oxide of Si at a very low rate and forms a new oxide on the Si surface by oxidation at the same rate Help remove particles and chemical impurities

Mechanism to Remove Particles in SC-1 Micro-etching of Si surface by the NH 4 OH Increase Si surface roughness Zeta potential of colloids dispersed in a high ph medium is negative, as is the wafer surface Prevents the re-deposition of the dislodged particle Magasonic energy decreases the boundary layer of fluid flow over the wafer surface Acoustic streaming and the formation of cavitation.

Zeta Potential and the Double Layer ζ Affected by ph and ionic strength of a solution

ζ Potential vs ph ζ typically positive in acidic ph due to H + adsorption Higher ph more adsorption of OH - ζ decreases (move to a more negative value) Isoelectric point (IEP): ph value where ζ = 0

Van der Waals Force Particle Adhesion Omni-present, regardless whether they have dipoles Electrostatic forces Effect of ph and ionic strength on zeta potentials Capillary condensation Contact angles Measurement AFM Zeta potential

The Capillary and van der Waals force vs particle size Silica and alumina particles on a flat surface

SC-2 Dissolve and remove alkali residues and any residual trace metals or metal hydroxides Au, Ag Al(OH) 3, FeOH, Mg(OH) 2, or Zn(OH) 2 Dissolved metal ions form metal complexes Prevents replating from solution Does not etch Si or SiO 2 No surfactant activity or capability to remove particle Temperature control is more forgiving

Improvements Introduce a 10 second 1:50 diluted HF dip Between SC-1 and SC-2 Re-expose the Si surface for the SC-2 step Use fused silica vessels instead of Pyrex Eliminate leached glass components (e.g. sodium) Replacement of SC-2 with very dilute, room temperature HCl All metals except Ag and Au are readily soluble in dilute HCl, no need for oxidative desorption Au and Ag contaminants are no longer present in high-purity process chemicals

RCA Megasonic Cleaning System Megasonic treatment in SC-1 bath Allows substantial reduction in solution temperature Megasonic in rinsing of wafers Much more efficient than immersion tank processing

Megasonic Cleaning Ultrasonic energy with frequencies near 1 MHz Can be combined with other liquid cleaning solutions SC1 and SC2 Small acoustic boundary layer. small particle substrate bonding film S8 Acoustic boundary layer without magasonics small particle substrate bonding film S8 Acoustic boundary layer with magasonics A.A. Busnaina & F. Dai, Megasonic Cleaning Semiconductor International, August, 1997

Megasonic/Ultrasonic Transducers Piezoelectric transducers ceramic lead and zircon titanite (PZT)

Acoustic Streaming Eckart-type acoustic streaming

Magasonic Cleaning (II) Particle removal efficiency (PRE) Optimal megasonic power (400-500W) Optimal cleaning temperature (28-35 C) Optimal cleaning time (12-15 min) Redeposition

Dilemma between cleaning power and structure damage High particle removal efficiency (PRE) No substrate damage Low substrate film loss

Dual-Transducer Megasonic Cleaning

Particle Removal Adopted by IC manufacturing RCA cleaning Brush scrubbing Megasonic cleaning In development Laser shock wave Supercritical CO 2 cleaning

Cryogenic Cleaning

Cryogenic Aerosol Cleaning Dry, non-aqueous, surface conditioning and cleaning method Contaminant removal is primarily by physical momentum transfer Does not etch or oxidize surface films CO 2, N 2, and Ar/N 2 cryoaerosols Particle removals in FEOL transistor gates or high aspect ratio patterns in the BEOL. Has been used in MEMS, read/write magnetic moemory heads, photomasks, compound semiconductor devices.

Equipment Waferclean 3600 manufactured by Eco-Snow Systems. The left-hand side image shows the inside of the process chamber of an ANTARES CX cleaning system. The right-side hand image depicts the flow pattern and particle transport path during cleaning. Courtesy of FSI International.

Nozzle-based cleaning technology Pressurized gas spray, pulsed liquid jet spray

Plasma source for rapid photoresist stripping Courtesy of Axcelis Technologies

Brushless post oxide CMP cleaning HF:HCl (1:2:200) for 5 min @ 24 C; Rinse with Ozone SC1 (1:2:30) for 6 min @ 70 C with megasonics Rinse with megasonics HF:HCl (1:2:200) for 5 min @ 24 C; Ozonated rinse, dry