High Optical Density Photomasks For Large Exposure Applications

Similar documents
Photolithography II ( Part 1 )

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Characterization of Optical Proximity Correction Features

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

A Reticle Correction Technique to Minimize Lens Distortion Effects

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Chromeless Phase Lithography (CPL)

custom reticle solutions

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

MEMS Metrology. Prof. Tianhong Cui ME 8254

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Mask induced polarization effects at high NA

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Behavior of candidate organic pellicle materials under 157 nm laser irradiation

Visual Test Light Scattering Reticle. Users Guide

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

CUSTOM RETICLE SOLUTIONS

We published the text from the next page.

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

Nanostructures Fabrication Methods

Current development status of Shin-Etsu EUV pellicle

some things to think about when doing evaporation liftoff of nanometer scale patterns 1/30/09

Electron-beam SAFIER process and its application for magnetic thin-film heads

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Critical Dimension Uniformity using Reticle Inspection Tool

Lecture 8. Photoresists and Non-optical Lithography

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Actinic review of EUV masks: First results from the AIMS EUV system integration

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

The MEEF Shall Inherit the Earth

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Thin Wafer Handling Challenges and Emerging Solutions

Performance Evaluation of Polymers for Bumping and Wafer Level Packaging

Photolithography 光刻 Part II: Photoresists

Copyright 1994 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Lecture 14 Advanced Photolithography

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

NSR-2205i14E (6" Reticle Type)

Introduction to Photolithography

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Development of Lift-off Photoresists with Unique Bottom Profile

Lithography and Etching

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

0. Table of contents. Author: Jaap Snijder

IC Fabrication Technology

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

EUVL Readiness for High Volume Manufacturing

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Introduction. Photoresist : Type: Structure:

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

193 nm STEP AND SCAN LITHOGRAPHY

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Micro- and Nano-Technology... for Optics

SHRINK. STACK. INTEGRATE.

Sensors and Metrology. Outline

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

X-Rays From Laser Plasmas

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Overview of EUV Lithography and EUV Optics Contamination

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Transcription:

High Optical Density Photomasks For Large Exposure Applications Dan Schurz, Warren W. Flack, Makoto Nakamura Ultratech Stepper, Inc. San Jose, CA 95134 Microlithography applications such as advanced packaging, micromachining and thin film head (TFH) production frequently require the use of thick photoresists and large exposure doses for successful pattern transfer onto substrates. When thick negative acting photoresists are used, exposures as high as 5000mJ/cm 2 may be required to maintain the pre-exposure photoresist thickness after develop. However, even a small exposure dose of a negative photoresist can cause a thin film to remain after development. This photoresist scumming can have a detrimental effect on subsequent processing of the substrates. Standard chromium (Cr) photomasks use an optical density (OD) of 3.0 to block light transmission. This specification was established with the introduction of projection lithography and is effective for most applications over a wide range of dosages. However, the combination of extremely high exposure values and thick negative photoresists raises the possible need for higher OD Cr to eliminate the risk of potentially damaging scumming from light leakage. In this study, light transmission through photomasks with standard (OD3) and high-density (OD4) Cr films was measured through the ultraviolet spectrum to determine leakage thresholds and evaluate the risk of unwanted exposure with highly sensitive photoresists. Because the higher OD photomasks are the result of an increase in Cr film thickness, photomask process differences, resolution capability and Critical Dimension (CD) uniformity issues were also evaluated. The thicker Cr film could also affect pattern transfer to the wafer. Therefore, resolution and CD uniformity were compared on wafers patterned from both OD3 and OD4 Cr reticles. Key Words: Optical density, high-energy exposure, photoresist scumming, chromium reticles 1.0 INTRODUCTION Since the introduction of projection lithography in semiconductor manufacture, photomasks have been coated with 800 Å to 1000 Å of Cr film to achieve an OD of 3.0 ± 0.2 at g, h and i-line Mercury (Hg) wavelengths. This OD has been sufficient to block extraneous light over a wide range of exposure doses, including doses greater than 1000mJ/cm 2 sometimes used in TFH manufacture. Now there is an increasing requirement for projection lithography tools for advanced packaging technology for semiconductors, and in the micromachining industry. Companies involved with advanced packaging and micromachining typically require exposure of relatively thick layers of photoresist and frequently a negative acting photoresist is required. With photoresist thickness in the range of 20 to 100 µm, exposure doses of 2000 to 4000mJ/cm 2 are becoming increasingly common [1,2]. There has been some concern that at these exposure Schurz, Flack, and Nakamura 1

values, OD3 photomasks might not be sufficient to prevent small amounts of light leakage. While this is not as damaging with positive acting photoresists, it would create scumming with negative photoresists. There is limited production of OD4 photomasks in applications where resolution requirements are typically greater than 2.0µm. Because the Cr thickness must be increased by approximately 20% to achieve the OD4 specification, the photomask etch process must be increased with the expectation that line edge quality and CD uniformity would be compromised to some degree. Another concern of increased Cr thickness is that the aerial image could also be compromised affecting pattern transfer to the wafer [3]. In addition to evaluating the potential for light leakage of standard versus thick Cr reticles at high exposure dosage, this study examines whether the anticipated reduction of photomask image quality would affect pattern fidelity on wafers processed in conditions similar to those used in advanced packaging and micromachining applications. Because these processes require thick layers of photoresist and only require larger resolution, it was expected that line edge roughness on the reticle would not adversely impact CD control on the wafer. Because the use of Cr as a masking film has been well established for several decades, there is little information available regarding its absorption rates at various thicknesses or at different wavelengths. In recent studies evaluating Cr as a film for photomasks to be used in 157nm tools for advanced semiconductor manufacturing, it was suggested that while Cr is a suitable material, it would require a coating thickness in the 1700Å range to achieve sufficient OD at this wavelength [4,5,6]. This implies that as 157nm tools and processes are developed, there will be an increasing demand for reticles with thicker Cr layers, and with very tight requirements for resolution, CD uniformity, and line edge control. The compatibility of these future lithography requirements with thick layers of Cr on photomasks needs further study. 2.0 EXPERIMENTAL METHODS 2.1 Experimental Description This study measures a OD3 and a OD4 photomask blank on a spectrophotometer to determine how absorption varies across the Hg ultraviolet spectrum, and to verify the OD specification for both Cr thicknesses. Reticles are then patterned on both substrate types and CD's compared for linearity and uniformity. A comparison of line edge roughness is made on a metrology SEM. Wafers coated with a positive photoresist are then patterned using both reticles to investigate CD uniformity translation. Wafers with a thick negative photoresist are then exposed with high exposure doses to look for photoresist scumming and its impact on process latitude. 2.2 Reticle Design and Manufacture Two reticles with identical design data were written on an Etec laser system by DuPont Photomask using their optical 895I photoresist process and measured on a KMS 400 line-width measurement system. The substrate for the first version reticle had an OD rating of 4.0 and the second version was had the standard OD rating of 3.0. The higher OD rating was achieved by the vendor increasing the Cr layer thickness by approximately 20% to 1200 Å. Exposure and development processes were identical for both the OD3 and OD4 reticles. However, the wet Cr etch was increased sufficiently to clear the thicker OD4 material. Reticle CD s were re-measured on a Hitachi S-7280H metrology SEM for a direct comparison to wafer CD s as discussed in section 2.3. The reticle design contained CD resolution cells with isolated and nested (1:1 pitch) line sizes of 1.5, 2.0, 3.0, 5.0 and 10.0 microns placed every 2.0mm across a 48.0 by 33.0mm exposure field. Larger nested line sizes of 20.0, 50.0 and 100.0µm were included at several locations in the field. Schurz, Flack, and Nakamura 2

Two additional substrates (one OD3 and one OD4) without patterned features were used for light absorption measurement using normal incidence absorption measurements on a Hitachi UV 4000 Spectrophotometer. It is estimated that the limit to absorption measurement in this tool is 6.0 ± 0.2 in the ultraviolet spectrum and 4.4 ±0.2 in the visible spectrum. The absorption measured in the spectrophotometer is equivalent to OD as shown in equation (1): OD = Log 10 (1/T) = - Log 10 (T) = A (1) where OD is the optical density, A is the absorption and T is the transmission. 2.3 Imaging Conditions All lithography was performed on an Ultratech Stepper Saturn Spectrum 3. The stepper is a 1X system using g, h and i-line illumination and having a numerical aperture of 0.16. The system is conservatively specified at 2.0µm resolution with a 5.0µm depth of focus. The system specifications for the Spectrum 3 are shown in Table 1. The system is equipped with an illuminator filter to select ghi, gh, or i-line wavelength. In this study, all images were printed with gh-line illumination using an i-line blocking filter. Multiple SEMI standard 150mm ultra-flat wafers were exposed in an exposure matrix as shown in Figure 1 for the positive photoresist portion of the study. The nominal dose of 335mJ/cm 2 with a zero focus offset was based on SEM measurements of a 1.5µm isolated line. A Hitachi S-7280H SEM was used to measure the horizontal linewidth at the center and four corners of the exposure field. The SEM criteria selected for determination of the CD size was an 80% threshold. Multiple SEMI standard 200mm ultra-flat wafers were exposed using the exposure matrix shown in Figure 1 for the negative photoresist portion of the study. The exposure was varied from 300mJ/cm 2 to 2200mJ/cm 2 in 100mJ/cm 2 increments with a -10µm focus offset. The wafers were inspected using an optical microscope to evaluate photoresist scumming. 2.4 Processing Conditions Shipley SPR 660 photoresist was selected for the positive photoresist portion of the study. This is a high contrast photoresist that has broad band spectral performance. The wafers were vapor-primed prior to the application of photoresist. The process conditions for the Shipley photoresist are shown in Table 2. The final thickness for the SPR 660 photoresist is 2.15µm. Morton Dynachem GA 1.0 dry film was used for the negative photoresist portion of the study. The wafers were laminated with a 25µm thick dry photoresist topped with a 25µm thick Mylar film. The Mylar film was removed prior to exposure. The process conditions for the Morton Dynachem photoresist are shown in Table 3. 3.0 RESULTS AND DISCUSSIONS 3.1 Reticle Absorption Spectrophotometer measurements for the OD3 and OD4 reticle blanks are shown in Figure 2. Absorption of the OD3 blank increases with decreasing wavelength until a sudden drop-off at approximately 240nm. Table 4 shows that the OD is greater than the specified value of 3.0 for g,h and i-line exposure wavelengths. This same pattern of increasing absorption through decreasing wavelength is repeated for the OD4 material but approximately 1.8 higher in absorption. However, the spectrophotometer would only allow measurement down to 300nm because of Schurz, Flack, and Nakamura 3

the high absorption of the OD4 reticle. The actual OD is greater than the specified value of 4.0 for g, h and i-line wavelengths. It consistently exceeds an OD of 5.0 which indicates that the OD4 Cr thickness specification is very conservative. The shape and magnitude of the absorption curves are consistent with earlier work done by Smith et. al. [4,5]. 3.2 Reticle Linearity Five different linewidths were each measured at the center and four corners of the stepper field on both the OD3 and OD4 reticles. SEM measurements were made for line sizes up to 10.0µm. However at 10.0µm, measurements were rounded by the SEM to the nearest 10 nanometers resulting in a larger measurement error for this CD. Figures 3a and 3c show the mean reticle size as a function of the CAD size for the OD3 and OD4 reticles respectively. Deviation from CAD size to mean reticle size was somewhat higher on the 3.0 OD substrate due to the difference in Cr etch processes, however both reticles demonstrate excellent linearity well within the specified limit of ±0.10µm. Figures 3b and 3d show the 3 sigma of the reticle size as a function of the CAD size for the OD3 and OD4 reticles respectively. As expected, 3 sigma for both isolated and nested lines is also better for the 3.0 OD material (approximately 35nm isolated and 38nm nested) compared to the OD4 material (approximately 75nm and 70nm respectively). However, both reticles show good linearity across the range of CD sizes measured. In all cases the 3 sigma is larger for the 10.0µm line. This is consistent with the SEM measurement error discussed previously. 3.3 Reticle SEMs Inspection of the reticle line edges on the metrology SEM at 10k and 25k magnification show a slight degradation on the OD4 material (Figure 5d) compared to the OD3 standard (Figure 5b). It is not apparent that the OD4 lines are degraded sufficiently to affect line edge quality when patterned on the wafer. Inspection of 2.0 and 1.5µm contacts in an array also shows a small edge degradation on the OD4 material as shown in Figure 6. The impact of this degradation at the wafer level is discussed in section 3.4. 3.4 Wafer Linearity Five different linewidths were each measured at the center and four corners of the stepper field using 2.15µm thick Shipley SPR660 positive photoresist. All measurements were taken at the same locations as on the reticles. The same SEM error for the 10.0µm line sizes discussed in section 3.2 apply for the wafer measurements. Wafers from both reticles showed good linearity with each line size measuring well within 10% of targeted value. Figure 4 shows the 3 sigma of the wafer CD size versus the reticle size for both the isolated and nested lines. It is apparent that the 3 sigma values of the OD3 reticle are only slightly better than on the OD4 reticle. The average 3-sigma using the OD3 reticle is 42nm versus 51nm for the OD4 reticle for isolated line sizes 1.5µm through 5.0µm. Nested lines show slightly lower 3 sigma results on the wafer than the isolated lines for both the OD3 and OD4 reticles (Figure 4b). These results clearly show that the line edge roughness of the OD4 reticle has no significant impact on CD control on the wafer for the range of feature sizes studied. 3.5 Negative Photoresist Wafers coated with Morton Dynachem GA photoresist were exposed with both the OD3 and OD4 reticles to determine the exposure dose where photoresist scumming occurs. With the OD3 reticle the negative photoresist required an exposure of 900mJ/cm 2 to resolve a 20.0µm line/space pattern (Figure 7a). However, photoresist Schurz, Flack, and Nakamura 4

scumming started to occur at an exposure of 1000mJ/cm 2. By 1100mJ/cm 2, the scumming became severe enough that line bridging is observed (Figure 7e). When the OD4 reticle was used, 900mJ/cm 2 (Figure 7b) was also sufficient to resolve the 20µm pattern. Scumming was not observed at this feature size until 1100mJ/cm 2 (Figure 7f) and only became significant at 1200mJ/cm 2. This suggests that use of the OD4 material could add approximately 10% to the exposure process window for the Morton Dynachem GA photoresist. An increase in exposure latitude could be very significant for lithography over severe wafer topography where higher exposure doses are required. The difference in photoresist scumming is even more pronounced for the 50µm line/space pattern as shown in Figure 8. At 1800mJ/cm 2 there is little or no scumming when the OD4 reticle (Figure 8b) is used compared to significant scumming with the OD3 reticle. (Figure 8a). Figure 9 shows an enlargement of the 50µm pattern at this dose which clearly shows a significant difference in the level of scumming. Going to a higher dose of 3000mJ/cm 2 the OD4 reticle shows only moderate scumming (Figure 8d), while for the OD3 reticle the lines are almost bridging (Figure 8c). 4.0 CONCLUSIONS In this study, light transmission through both OD3 and OD4 photomasks was measured across the ultraviolet spectrum. Both reticles show increasing OD down to 300nm wavelength. The absorption of the OD4 reticle is approximately 1.8 higher than the OD3 reticle for all Hg exposure wavelengths. Because OD4 photomasks require an increase in Cr film thickness, resolution capability and CD uniformity were evaluated on the reticle and on the wafer using a positive photoresist. It was established that OD4 reticles are available with CD linearity and edge quality consistent with 1.5µm design rule applications. In addition, the OD4 reticle was observed to have no significant affect on pattern transfer to the wafer over features down to 1.5µm. An evaluation of a thick negative photoresist process confirmed the advantage of using OD4 reticles to minimize photoresist scumming and increase the exposure process control window. 5.0 ACKNOWLEDGEMENTS The authors would like to thank Bruce Ruff for his assistance with collecting absorption data on the reticles used in this study, and to Khiem Nguyen of Ultratech Stepper for his assistance with the negative photoresist process. We would also like to thank Ian Hutugalung of Read Rite Corporation for laminating and developing the Morton Dynachem GA photoresist. Additional thanks go to Mariella Pichaud and Jesse Woodruff from DuPont Photomasks, Inc. for assistance in processing the reticles. 6.0 REFERENCES 1. W. Flack, S. White, B. Todd, Process Characterization of One Hundred Micron Thick Photoresist Films, Advances in Resist Technology and Processing XVI Proceedings, SPIE 3678, 1999. 2. B. Todd, W. Flack, S. White, Thick Photoresist Imaging Using a Three Wavelength Exposure Stepper, Micromachining and Microfabrication Process Technology Proceedings, SPIE 3874, 1999. 3. R. Gordon, C. Mack, Lithography Simulation Employing Rigorous Solutions to Maxwell s Equations, Optical Lithography XI Proceedings, SPIE 3334, 1998. 4. B. Smith, A. Bourov, M. Lassitier, M. Cangemi, Masking Materials for 157 nm Lithography, 19th Annual Symposium on Photomask Technology Proceedings, SPIE 3873, 1999. Schurz, Flack, and Nakamura 5

5. B. Smith, A. Bourov, L. Zavyalova, M. Cangemi, Design and Development of Thin Film Materials for 157nm and VUV Wavelengths: APSM, Binary Masking and Optical Coating Applications, Emerging Lithographic Technologies III Proceedings, SPIE 3676, 1999. 6. J. Troube, H. Yabe, S. Aya, K. Marumoto, Y. Matsui, Low stress and optically transparent chromium oxide layer for X-ray mask making, Journal of Vacuum Science & Technology B (Microelectronics Processing and Phenomena), 11 (6), Nov.-Dec. 1993. Parameter Value Reduction factor 1X Wavelength (nm) 350-450 Wafer Plane Irradiance (mw/cm 2 ) 1750 Numerical Aperture (NA) 0.16 Partial Coherence (σ) 1.0 Field Size (mm) 25 X 50 Table 1: System specifications for the Ultratech Saturn Spectrum 3 stepper. Process Steps Parameters Equipment Photoresist Coating Static dispense MTI Coater 8000 rpm for 20 seconds Softbake 90 o C for 60 seconds MTI Hotplate Exposure 335mJ/cm 2 Saturn Spectrum 3 Post Exposure Bake 100 o C for 60 seconds MTI Hotplate Develop Shipley CD-26 60 seconds immersion Batch Table 2: Process conditions for Shipley SPR660 photoresist. Process Steps Parameters Equipment Photoresist Lamination Platen 150 o F, Diaphragm 210 o F Slapdown time 15 seconds Optek DPL-24 Vacuum laminator Exposure 900 to 3000mJ/cm 2 Saturn Spectrum 3 Spray Develop 0.08M K 2 CO 3 at 25 o C 100 seconds at 30psi SSEC M10 Spray Developer Table 3: Process conditions for Morton Dynachem GA 1.0 dry film photoresist. Schurz, Flack, and Nakamura 6

Wavelength (nm) Hg line Reticle OD3 Reticle OD4 436 g 3.27 5.08 405 h 3.43 5.30 365 i 3.63 5.48 Table 4: Measured OD of reticles at standard Hg line exposure wavelengths. Individual Field CD Structure Focus Exposure Figure 1: Wafer layout for the focus and exposure test matrix. A four by six field array was exposed with focus varying in the vertical axis and exposure dose varying in the horizontal axis. Optical Density (Absorption) 6 i h g 5 4 3 2 200 300 400 500 600 700 Wavelength (nm) (a) OD3 Cr Reticle Optical Density (Absorption) 6 i h g 5 4 3 2 300 400 500 600 700 Wavelength (nm) (b) OD4 Cr Reticle Figure 2: OD as a function of wavelength for standard OD3 and OD4 Cr reticles. Schurz, Flack, and Nakamura 7

Mean Reticle Size (microns) Mean Reticle Size (microns) 10 9 8 7 6 5 4 3 2 1 1 2 3 4 5 6 7 8 9 10 CAD Size (microns) (a) Mean of isolated line 10 9 8 7 6 5 4 3 2 1 1 2 3 4 5 6 7 8 9 10 CAD Size (microns) (c) Mean of nested line OD4 OD3 OD4 OD3 0 1 2 3 4 5 6 7 8 9 10 CAD Size (microns) (b) 3 sigma of isolated line 0 1 2 3 4 5 6 7 8 9 10 CAD Size (microns) (d) 3 sigma of nested line Figure 3: CD linearity measurements and three sigma deviations for the OD3 and OD4 reticles. 3 Sigma Reticle Size (microns) 3 Sigma Reticle Size (microns) 0.2 0.15 0.1 0.05 0.2 0.15 0.1 0.05 3 Sigma Wafer Size (microns) 0.15 0.1 0.05 0 1 2 3 4 5 6 7 8 9 10 Reticle Size (microns) (a) 3 sigma of isolated line OD4 3S OD3 3S 0 1 2 3 4 5 6 7 8 9 10 Reticle Size (microns) (b) 3 sigma of nested line Figure 4: Wafer CD linearity measurements and three sigma deviations for the OD3 and OD4 reticles using 2.15µm thick Shipley SPR660 photoresist. The exposure dose is 335mJ/cm 2 and the focus offset is 0µm. 3 Sigma Wafer Size (microns) 0.15 0.1 0.05 Schurz, Flack, and Nakamura 8

(a) OD3 reticle (10K Magnification) (b) OD3 reticle (25K Magnification) (c) OD4 reticle (10K Magnification) (d) OD4 reticle (25K Magnification) Figure 5: 1.5µm line and space patterns on the OD3 and OD4 test reticles. Schurz, Flack, and Nakamura 9

(a) OD3 reticle 2.0µm contact (b) OD3 reticle 1.5µm contact (c) OD4 reticle 2.0µm contact (d) OD4 reticle 1.5µm contact Figure 6: 2.0 and 1.5µm contact patterns on the OD3 and OD4 test reticles.the magnification for all pictures is 10K. Schurz, Flack, and Nakamura 10

(a) Reticle OD3 at 900mJ/cm 2 (b) Reticle OD4 at 900mJ/cm 2 (c) Reticle OD3 at 1000mJ/cm 2 (d) Reticle OD4 at 1000mJ/cm 2 (e) Reticle OD3 at 1100mJ/cm 2 (f) Reticle OD4 at 1100mJ/cm 2 Figure 7: 20µm line and space patterns in Morton Dynachem GA photoresist at a 130X magnification. Schurz, Flack, and Nakamura 11

(a) Reticle OD3 at 1800mJ/cm 2 (b) Reticle OD4 at 1800mJ/cm 2 (c) Reticle OD3 at 3000mJ/cm 2 (d) Reticle OD4 at 3000mJ/cm 2 Figure 8: 50µm line and space patterns in Morton Dynachem GA photoresist at a 130X magnification. (a) Reticle OD3 at 1800mJ/cm 2 (b) Reticle OD4 at 1800mJ/cm 2 Figure 9: 50µm line and space patterns in Morton Dynachem GA photoresist at a 330X magnification. Schurz, Flack, and Nakamura 12