Chromeless Phase Lithography (CPL)

Similar documents
Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Photolithography II ( Part 1 )

EUV Lithography Towards Industrialization

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

Litho scenario solutions for FinFET SRAM 22nm node

Actinic review of EUV masks: First results from the AIMS EUV system integration

Illuminated Reticle Technologies for Rifle Scopes. Illuminated Reticle Technologies for Riflescopes

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Characterization of Optical Proximity Correction Features

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

High Optical Density Photomasks For Large Exposure Applications

Purpose: Explain the top 10 phenomena and concepts key to

EE 434 Lecture 7. Process Technology

EUVL Readiness for High Volume Manufacturing

The MEEF Shall Inherit the Earth

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Sensors and Metrology. Outline

custom reticle solutions

* AIT-4: Aberrations. Copyright 2006, Regents of University of California

Cost of Ownership Considerations for Maskless Lithography

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

193 nm STEP AND SCAN LITHOGRAPHY

Critical Dimension Uniformity using Reticle Inspection Tool

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Resist material for negative tone development process

157nm Lithography with High Numerical Aperture Lens for the 70nm Technology Node

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

ASML Approach to Euv Reticle Handling

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

UV2Litho Usable Vacuum Ultra Violet Lithography

Dielectric Meta-Reflectarray for Broadband Linear Polarization Conversion and Optical Vortex Generation

Optical Proximity Correction

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

nmos IC Design Report Module: EEE 112

IEUVI Mask Technical Working Group

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Mask induced polarization effects at high NA

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Silicon VLSI Technology. Fundamentals, Practice and Modeling

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Lecture 14 Advanced Photolithography

AIT-1: LER and Chemically Amplified Resists. AIT-4: Aberrations AIT-5: Maskless, High-NA, Immersion, EUV, Imprint

CUSTOM RETICLE SOLUTIONS

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

Rapid and precise monitor of reticle haze

Interactions of 3D mask effects and NA in EUV lithography

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

Design of Attenuated Phase-shift shift Mask with ITO Absorber for Extreme Ultraviolet Lithography

Lead time reduction by optimal test sequencing

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Cost Implications of EUV Lithography Technology Decisions

EUV Lithography Status and Key Challenges for HVM Implementation

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Your worst Nightmare Inspection of aggressive OPC on 14 nm masks with emphasis on defect sensitivity and wafer defect print predictability

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Lecture 8. Photoresists and Non-optical Lithography

Single Pass Die to Database Tritone Reticle Inspection Capability

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Overview of EUV Lithography and EUV Optics Contamination

We published the text from the next page.

Industrial Applications of Ultrafast Lasers: From Photomask Repair to Device Physics

Photomask. Mask effects for high-na EUV: impact of NA, chief-ray-angle, and reduction ratio N E W S. Take A Look Inside: Industry Briefs see page 12

Measuring aberrations in lithographic projection systems with phase wheel targets

ORION NanoFab: An Overview of Applications. White Paper

Impact of Pellicle on Overlay in Double Patterning Lithography

Analysis of carbon contamination on EUV mask using CSM/ ICS

EE-612: Lecture 22: CMOS Process Steps

Lithography Issues in Nano Chip Design and Manufacture

Lecture 0: Introduction

Introduction to Photolithography

EUV lithography industrialization for HVM

Figure 1 below shows the generic process flow of an LELE method of double patterning.

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

Transcription:

Chromeless Phase Lithography (CPL) Chromeless Phase Lithography or CPL is a recent development in the area of phase shifting technology that is extending the perceived k 1 limits and has the potential to be the multi-layer enabling technology for the 65nm node. CPL uses chromeless features on the mask to define patterns that have nearly 100% transmission and are phase shifted by 180 degrees. (Figure 1). The phase shift is created by etching the quartz substrate to a depth that is dependent on the wavelength of the imaging system. Using the etched quartz to induce a phase shift, it is possible to build the desired 100% transmission phase structures for any given wavelength (248, 193, 157nm) using standard chrome on quartz substrates. Figure 1: Four examples of how a poly layer for an SRAM cell could be defined as phase shifted patterns and opaque areas on the mask for imaging with CPL. Page 1 of 5

As its name implies, CPL is a lithography technology that is more than just a type of phase shifting mask. It includes mask making technology, imaging technology, and the full-chip implementation software. CPL is as dependent on the wafer imaging system (scanner) as it is on the phase shifting mask. It is only when the chromeless phase patterns are exposed with higher NA and strong off-axis illumination (OAI) that the high contrast aerial images are formed. (Figure 2.) By using strong OAI, CPL becomes a two beam imaging system similar to alternating PSM and chrome-less phase edge PSM. (Figure 3.) Therefore, in order to obtain the resolution enhancement of CPL, it is necessary to have wafer exposure tools with the capability of high numerical aperture settings (>0.70) and strong off-axis illumination (e.g. Quasar, annular, double dipole). Conventional Illum QUASAR.85/.55/30 0 Figure 2: Aerial image simulation of a 100nm chromeless phase feature when exposed with conventional and Quasar illumination at 0.80NA. Using off-axis illumination, a smaller aerial imaged is formed by the phase line. Simulation performed using LithoCruiser TM v1.0. Page 2 of 5

Benefits of Chromeless Phase Lithography No special material is required. The standard chrome on quartz material used for binary reticles can be used for CPL at any exposure wavelength. The portions of the drawn design geometry become phase structures that transmit nearly 100% of the light with a 180-degree phase shift. This results in phase shifting structures that are symmetric i.e., the aerial image is formed by two symmetrical phase edges. (Figure 3.) And just as with att-psm, there are no phase conflicts that must be resolved by redefining the 0 and 180-degree phase regions or by placing design restrictions on the device layout. Figure 3: Comparison of three quartz etched phase shift mask technologies. All three techniques have the advantages of 2-beam imaging. Page 3 of 5

Single reticle/single exposure technology. Unlike alt-psm, there is no need for a second exposure of a trim mask to remove unwanted phase edges or to image patterns that cannot be imaged with the phase shift reticle. This has many implications on the entire lithography flow from pattern decomposition to OPC to reticle manufacturing to exposing the wafer. For example, using alternating phase shift masks requires dealing with two separated patterns imaged with two different reticles (phase shift layer and trim layer), adding complexity to all of the steps. Plus, with alt-psm, applying OPC requires that the software be able to predict the imaging behavior of the two separate reticles being exposed at two different times, with two very different imaging conditions. With CPL there is one reticle, one exposure, and one illumination condition, greatly simplifying the design implementation. (Figure 4.) Figure 4: Comparison of double exposure alternating PSM to Chromeless Phase Lithography, illustrating how the process complexity is greatly reduced with a single reticle / single exposure system. Page 4 of 5

Can be used for multiple layers. CPL is an optical extension technology that can be applied to multiple device types and multiple layers - making the economics of using CPL in high volume manufacturing much more viable compared to the other phase shift techniques. It is not just a poly or contact layer phase shift technique. Figure 5 shows the wafer resist image of several different device patterns illustrating the versatility of CPL. Figure 5: Examples of different device layers patterned with CPL Summary CPL is a patented and innovative technology developed by ASML MaskTools that combines the advantages of high NA imaging systems, custom illumination, and phase shifting masks into a powerful resolution enhancement solution for the 65nm technology node. As compared to other optical extension technologies, CPL also offers the advantages of being a single reticle, single exposure technology, and is more versatile since it is applicable for most layers on most device types. Consequently, as CPL matures, it will extend the limits of optical lithography and provide a viable solution for very low k 1 manufacturing. Page 5 of 5