Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

Similar documents
Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Is an EUV Film Quantum Yield of 30 Possible?

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

Measurement of the role of secondary electrons in EUV resist exposures

More on Stochastics and the Phenomenon of Line-Edge Roughness

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

EUV Resist-Fundamental Research

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

Application of Stochastic Modeling to Resist Optimization Problems

Robust shadow-mask evaporation via lithographically controlled undercut

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

EUREKA: A new Industry EUV Research Center at LBNL

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Measurement of the Complex Index of Refraction for UO x in the Extreme Ultraviolet

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

We published the text from the next page.

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Let us consider a typical Michelson interferometer, where a broadband source is used for illumination (Fig. 1a).

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

MICRO AND NANOPROCESSING TECHNOLOGIES

MASSACHUSETTS INSTITUTE OF TECHNOLOGY 6.781/2.391J TAKE-HOME FINAL ASSIGNMENT, Handed out Thursday, April 27, 2006

Process-Simulation-Flow And Metrology of VLSI Layout Fine- Features

EUVL Readiness for High Volume Manufacturing

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Nanosphere Lithography

Photolithography II ( Part 1 )

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Modeling and Simulation of Line Edge Roughness for EUV Resists

Damage to Molecular Solids Irradiated by X-ray Laser Beam

EUV and Soft X-Ray Optics

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Full-color Subwavelength Printing with Gapplasmonic

EUV and Soft X-Ray Optics

Introduction. Photoresist : Type: Structure:

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Transmission Electron Microscopy

Lab1. Resolution and Throughput of Ion Beam Lithography.

Comprehensive model of electron energy deposition*

Soft X - Ray Optics: Fundamentals and Applications

Exposure strategies for polymethyl methacrylate from in situ x-ray absorption near edge structure spectroscopy

Multilayer Interference Coating, Scattering, Diffraction, Reflectivity

Spatial Coherence Properties of Organic Molecules Coupled to Plasmonic Surface Lattice Resonances in the Weak and Strong Coupling Regimes

Chemical Analysis in TEM: XEDS, EELS and EFTEM. HRTEM PhD course Lecture 5

Check the LCLS Project website to verify 2 of 6 that this is the correct version prior to use.

Demonstration of Near-Infrared Negative-Index Materials

High Optical Density Photomasks For Large Exposure Applications

Introduction to Electron Beam Lithography

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Radiation Detection and Measurement

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Depth Distribution Functions of Secondary Electron Production and Emission

The Monte Carlo Simulation of Secondary Electrons Excitation in the Resist PMMA

High Yield Structured X-ray Photo-Cathode Development and Fabrication

CHEM6416 Theory of Molecular Spectroscopy 2013Jan Spectroscopy frequency dependence of the interaction of light with matter

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

SOFT X-RAYS AND EXTREME ULTRAVIOLET RADIATION

Auger Electron Spectroscopy Overview

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

CHEM*3440. Photon Energy Units. Spectrum of Electromagnetic Radiation. Chemical Instrumentation. Spectroscopic Experimental Concept.

Supplementary Figure 1: Example non-overlapping, binary probe functions P1 (~q) and P2 (~q), that add to form a top hat function A(~q).

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high?

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Characterization of Optical Proximity Correction Features

Supplementary Information. Resolution limits of electron-beam lithography towards the atomic scale

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

object objective lens eyepiece lens

Accurate detection of interface between SiO 2 film and Si substrate

Vibrational Spectroscopies. C-874 University of Delaware

Optical Proximity Correction

CHARGED PARTICLE INTERACTIONS

Table of Contents. Foreword... Jörge DE SOUSA NORONHA. Introduction... Michel BRILLOUËT

Lecture 14 Advanced Photolithography

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

MT Electron microscopy Scanning electron microscopy and electron probe microanalysis

Nanostructures Fabrication Methods

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

A Parameter Extraction Framework for DUV Lithography Simulation

Name: (a) What core levels are responsible for the three photoelectron peaks in Fig. 1?

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Analytical Chemistry II

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Actinic review of EUV masks: First results from the AIMS EUV system integration

Monte Carlo study of medium-energy electron penetration in aluminium and silver

Transcription:

Study of Shot Noise in EUV Resists through EUV and E-Beam Comparative LER Analysis Suchit Bhattarai a), Andrew R. Neureuther Department of Electrical Engineering and Computer Sciences, UC Berkeley, Berkeley, CA 94720 Patrick P. Naulleau Center for X-Ray Optics, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 a) Electronic mail: suchitb@berkeley.edu In this paper, the influence of absorption shot noise on line edge roughness (LER) of photoresists for extreme ultraviolet (EUV) lithography is studied experimentally through comparative analysis of LER obtained with EUV (92 ev photons) and 100 kev e-beam lithography. Techniques for performing EUV and e-beam lithography with matched image log slope for a fair comparison of LER values are described. Measurements of absorption of 100 kev electrons estimated through a transmissive electron energy loss spectroscopy (EELS) measurement with 120 kev electron beam showed that despite having access to core levels in the material (e.g., 284 ev edge in carbon), these electrons mostly just excite the energy levels less than 100 ev in the resist, with a mean deposited energy of 35 ev. By combining the incident flux and the absorption probabilities, the absorbed quanta for patterning of 50 nm half-pitch line/space features was found to be similar between the two patterning technologies. I. INTRODUCTION Extreme ultraviolet (EUV) lithography is a leading candidate technology for patterning of ever shrinking feature sizes. However, several challenges have prevented the deployment of this technology in high volume manufacturing of integrated circuits in a 1

cost-effective manner. One of the major challenges has to do with variability at the nanoscale introduced by the photoresist, the imaging medium that is responsible for recording the patterns which can subsequently be transferred to the substrate through etching. One of the manifestations of this variability is in the form of line edge roughness (LER), which refers to deviations of the edge position of a line/space pattern relative to the ideal positions designed on the mask. An illustration is provided in Fig. 1. The dashed black line corresponds to the ideal edge positions, while the solid blue lines represent the deviations in edge positions in the image formed in a resist. (LER) FIG. 1 (Color Online): Illustration of the phenomenon of line edge roughness LER in a resist emerges because of the fact that the exposure events and the chemistry events triggered as a result of the deposited energy density profile are stochastic processes [1,13]. There are not many absorption events in a photoresist film that is typically less than 50 nm thick. For example, in a photoresist consisting of polyhydroxystyrene (PHS) based backbone polymer, the absorptivity is about 0.004/nm [2], which in a 50 nm thick resist translates to an 18% absorption probability. To put it in perspective, an incident dose of 15 mj/cm 2 translates to an incident flux of 10.2 photons/nm 2, and an absorption flux of only 1.85 photons/nm 2. At such low photon count values, the phenomenon of 2

exposure event shot noise starts to become important and plays a role in causing LER. EUV absorption being a form of ionizing radiation, produces secondary electrons which are primarily responsible for intitiating events that can ultimately lead to solubility switch in the resist. In the case of a chemically amplified resist, the electrons interact with photoacid generators (PAGs) to produce acids that cause polymer de-protection reactions during the post exposure bake step, which render the exposed resist soluble in the developer solvent. Since the number of electrons produced by an absorption event that can lead to acid creation is in the single digits and likely around 4 [3,14], the randomized trajectories followed by these electrons as they scatter and deposit energy in the material may also contribute to roughness. Furthermore, under nominal PAG loading conditions only a few acids are expected to be created by an absorption event, and the counting statistics of the acids can contribute to LER [1,4,5]. The stochastic nature of local PAG and quencher number density in the material can also contribute to the LER. The dissolution process may also contribute to the final resist LER. LER continues to be a practical challenge for EUV lithography, and quantifying the influence of various material intrinsic contributors is an important topic of research that can aid in mitigation strategies [6-9]. In this paper, LER is studied through a comparative analysis of EUV and e-beam lithography, with the goal of testing whether the absorption shot noise is the most dominant contributor to LER in two leading chemically amplified EUV resists. The motivation behind this approach is that in principle it allows for directly studying the influence of absorption density on the final resist LER, since lithography experiments can be performed on the same resist with identical reaction/diffusion chemistry and secondary electron scattering processes, with absorption density being the 3

only parameter that would be different between the two patterning techniques. While in EUV lithography, the pattern on the mask is projected onto the wafer plane through an imaging system, in e-beam lithography the desired pattern is formed through a direct-write process where the beam is raster scanned across the wafer. Performing comparable lithography experiments therefore, requires techniques for ensuring identical image quality for the two patterning techniques. One of the most important metrics for image quality is the image log slope (ILS), which quantifies the slope of the image formed at the line edge normalized by the image intensity at the edge, and is well known to strongly affect LER [13,29]. In the next section, a summary of the experimental approach used in the study will be presented. The techniques used for experimentally matching the image log slopes for a fair comparison of measured LER, which includes the use of gray-scale e-beam lithography, will then be described. Measurement of the probability of absorption of 92 ev EUV photons using optical properties determined with EUV reflectometry will be presented. The electron energy loss spectroscopy (EELS) experiment used to estimate the energy deposition probability for 100 kev e-beam lithography will then be presented. In the subsequent section, experimental verification of matched exposure latitudes between EUV and e-beam lithography will be presented. A comparison of LER statistics for 50 nm half-pitch line/space patterns with matched image log slopes will then be presented, followed by a summary discussion of the comparisons between absorption shot noise and deposited energy in the two patterning technologies. 4

II. EXPERIMENTAL APPROACH A pictorial summary of the overall experimental approach utilizing comparisons of patterning performance between EUV and e-beam lithography is provided in Fig. 2. Four experiments were performed, two patterning experiments (EUV and e-beam), and two experiments aimed at quantifying the probability of absorption of 92 ev photons and 100 kev electrons in a 45 nm thick resist. The measured LER values were then simply compared against the shot noise prediction based on absorption count data to test whether the shot noise model adequately explains the LER discrepancy, or whether other resist processing parameters have a larger influence on the LER. FIG. 2 (Color Online): Experimental Methodology for Shot Noise Investigation A. Gray-Scale E-Beam Lithography In the scenario where the EUV spatial resolution is better than e-beam, aerial images can be matched simply by defocusing the EUV image. In the more typical scenario however, the e-beam spatial resolution may be better than EUV. As an example, a comparison of the point spread functions (PSFs) for EUV and e-beam imaging systems is illustrated in Fig. 3. The EUV point spread function (solid blue line) was calculated by using an existing MATLAB based software that is capable of modeling partially coherent illumination [10]. The Berkeley MET (BMET) model for illumination conditions were used in this 5

simulation. The e-beam point spread function (red dashed line) was modeled simply as a Gaussian function with a full-width at half maximum of 8 nm, the measured value for the e-beam tool at the Center for X-Ray Optics (CXRO). The figure clearly shows differences in the two imaging systems, which need to be corrected for in order to perform a fair comparison of lithographic data. The inset shows the gradients of the PSF at halfmaximum. Gradient for the e-beam PSF is 2.6 times larger than that for EUV. FIG. 3 (Color Online): Comparison between EUV and e-beam point spread functions (PSFs). Reprinted with permission from Proc. SPIE 9048, 90481H (2014). Copyright 2014 SPIE In situations where the e-beam spatial resolution is better than EUV, the goal of gray-scale e-beam imaging is to compensate for it by slightly lowering the contrast of the e-beam write pattern. This was accomplished through a simple deconvolution procedure performed in the Fourier domain, where the e-beam point spread function was deconvolved from a computed EUV aerial image to obtain the gray-scale e-beam write pattern. A demonstration of the image calculations is shown in Fig. 4. The normalized e-beam dose profile for binary imaging scenario is shown in Fig. 4a. Fig. 4b shows the deconvolved gray-scale e-beam pattern. Only one period of the line/space feature is shown so that the 6

varying colors in the gray-scale write pattern corresponding to spatially varying e-beam dose are easily visible. In these images, a pixel size of 5 nm was used to match the pixel size in the patterning experiments that will be presented later. The images in Figs. 4c and 4d represent the calculated spatial profiles of the line/space pattern on the wafer plane for binary and gray-scale patterning scenarios respectively. We can see qualitatively that the gray-scale dose pattern results in a degraded image contrast compared to the binary one. Fig. 4e shows a comparison of the image cross-sections for EUV, gray-scale e-beam and binary e-beam. The e-beam aerial image computed with the designed gray-scale input pattern (dashed black line) is identical to the EUV aerial image (open blue circles) as expected. The EUV aerial image was calculated by assuming annular imaging condition (σin/σout = 0.3/0.55), which was used for experimental results that will be shown later. FIG. 4 (Color Online): Demonstration of the gray-scale e-beam pattern calculation technique; (a): Binary e-beam dose pattern; (b): E-beam gray-scale pattern computed through deconvolution; (c,d): Calculated 50 nm half-pitch line/space patterns formed by raster-scanning an 8 nm FWHM electron beam with the dose patterns in (a) and (b) 7

respectively; (e): Calculated cross-sections of 50 nm half-pitch line/space profiles for EUV with annular imaging conditions, binary e-beam and gray-scale e-beam patterning B. Importance of the Aerial Image Log Slope When designing an experiment for LER comparisons, it is important to match the image log slope because this parameter inversely affects the line edge roughness (LER) [13,29]. The measurable parameter that relates to the image log slope of the aerial image pattern is the exposure latitude defined by equation 1 [13]. The exposure latitude provides a measure for the amount of perturbation in dose needed to produce a given perturbation in the linewidth (CD). For example, in equation 1 a 10% CD perturbation is assumed. 1.1 0.9 1 A simple demonstration of the relationship between the image log slope and the exposure latitude is provided in Fig. 5. A trend for the image log slope as a function of defocus for the wafer-plane aerial image for 50 nm line/space patterns simulated using a thin mask model and utilizing the imaging conditions for the Berkeley MET (BMET) [11] is provided in Fig. 5a. In Fig. 5b, the experimentally measured exposure latitude in the resist image, calculated using the definition in equation 1 as a function of the defocus conditions for a leading chemically amplified positive tone EUV resist is provided. Fig. 5c shows the experimental dependence of exposure latitude on the aerial image log slope for this resist. 8

FIG. 5 (Color Online): Demonstration of exposure latitude as a way of determining image gradients using 50 nm half-pitch line/space patterns as an example; (a): Simulated image log slope (ILS) vs. defocus; (b): Experimental exposure latitude around dose-to-size for exposures performed at the Berkeley MET (BMET); (c): Measured exposure latitude vs. simulated image log slope The improvement in LER with increasing exposure latitude and image log slope is demonstrated in Fig. 6 for two leading chemically amplified positive tone EUV resists. The data shows results for 50 nm half-pitch lines and spaces patterned using EUV lithography at the Berkeley MET (BMET). FIG. 6 (Color Online): LER at dose to print 50 nm half-pitch lines/spaces vs. exposure latitude for two chemically amplified EUV resists 9

C. Absorptivity of Photons The probability of absorption of an EUV photon in the resist is calculated by using the Beer-Lambert law for exponential decay of photon intensity as a function of depth (z) in the material shown in equation 2a, where the absorptivity ( ) relates to the imaginary component of the refractive index (k), shown in equation 2b. 2 4 2 The complex index of refraction (n+j*k) for the photoresist was extracted from reflectance measurements for a 45 nm thick chemically amplified resist spun on a silicon substrate by using the CXRO reflectometer [12]. The measured real and imaginary parts of the refractive index of the photoresist (n and k respectively) are shown as open circles in Fig. 7a. A typical positive tone chemically amplified resist is made of polyhydroxystyrene (PHS) polymer backbone. Therefore, n and k values for PHS were obtained from the CXRO database [2], and are plotted as dashed lines. A good general agreement is seen between the measured results and the values in the database. The plot in Fig. 7b shows the absorptivity in the material evaluated using equation 2b as a function of photon energy. At the EUV energy of 92 ev, the absorptivity is 4.13. 10

FIG. 7 (Color Online): Optical data for a chemically amplified EUV resist obtained through EUV reflectometry; (a): Real and imaginary parts of refractive index from measurement, as well as from CXRO database as a function of photon energy; (b): Absorptivity vs. incident photon energy While this study focuses on the influence of discrete energy deposition events by 92 ev photons and 100 kev electrons on the patterned line edge roughness, studying the mechanisms with which secondary electrons generated by an absorption event initiate chemistry are also important. These electron interaction mechanisms can be quite complex [1] and a few different experimental approaches have been demonstrated in literature. Schepper et al. presented a measurement of the total electron yield in EUV resists [14]. Narasimhan et al. [15] and Bhattarai et al. [16] studied the energy delivery capacity of electrons in chemically amplified EUV resists by directly exposing the samples with low energy electrons and measuring the resist thickness loss as a function of exposure dose and incident electron energies. Ogletree et al. performed measurements of electron yields from halogenated resist materials in gas phase and presented evidence of Auger relaxation mechanisms [17]. Assessing the influence of these electron interaction 11

phenomena on the final resist LER will likely have to rely on theoretical modeling of electron scattering mechanisms. D. Absorption Statistics for 100 kev Electrons using EELS The probabaility of absorption of 100 kev electrons in EUV resists was estimated using transmissive electron energy loss spectroscopy (EELS). In this technique an electron beam, typically with energies between 100 to 200 kev, is focused onto a thin sample in a transmission electron microscope (TEM) chamber. The electrons undergo scattering in the sample, emerge on the other side of the sample with a certain energy and angular distribution, and subsequently pass through a magnetic prism which results in deflection of electrons based on energy. Finally, the electron detection systems provide a measurement of the the number of electrons as a function of the energy lost in the sample. Through subsequent data processing steps detailed by Egerton [18], the probability of energy loss events can be computed. In our experiment, we first spin-coated the resist on a silicon nitride window as shown in Fig. 8. EELS spectrum with a 120 kev beam was first obtained on the bare nitride window, and the spectrum was subsequently measured for the resist-nitride stack using the TEM-EELS tool at the Molecular Foundry at the Lawrence Berkeley National Laboratory [19]. The bare nitride thickness was 25 nm, while the thickness of the stack was 47 nm. The data from these two measurements were then used to estimate the probability of inelastic scattering of electrons in the resist. 12

FIG. 8 (Color Online): The EELS experiment technique used in this study. Reprinted with permission from Proc. SPIE 9422, 942209 (2015). Copyright 2015 SPIE The spectra are shown in Fig. 9. The dual peaks around 100 ev correspond to the ionization edges of silicon [20] present in silicon nitride. The 284 ev ionization edge in the resist/nitride stack data results from the presence of carbon in the photoresist. The 400 ev peaks in both the resist/nitride stack and the bare nitride correspond to the absorption edge of nitrogen present in silicon nitride. FIG. 9 (Color Online): EELS data for energy losses upto 410 ev for 120 kev electrons. Reprinted with permission from Proc. SPIE 9422, 942209 (2015). Copyright 2015 SPIE In order to calculate the probability of scattering in the resist, the plural scattering mechanisms need to be accounted for. The measured EELS data can be used to obtain the 13

single scattering distribution using the technique of Fourier Log deconvolution (FLOG) detailed by Egerton [18]. This procedure was used to obtain the single scattering distributions for bare nitride and the resist/nitride stack. Since the single scattering distribution represents the probability of scattering as a function of energy loss, by subtracting the distribution for the bare nitride from that of the resist/nitride stack the energy loss distribution in the resist can be extracted. The result is shown in Fig. 10. The main peak at 22.2 ev corresponds to the bulk plasmon excitation in the resist. The 6.54 ev peak likely correspond to a combination of excitation of the carbon-carbon bonds in the monomer units, as well as the excitation of photo-acid generators in the resist. Due to the finite energy resolution of the EELS tool, inelastic scattering data could not be directly measured for energy loss values less than 2 ev. In the results in Fig. 10 therefore, the scattering distribution for energy loss less than 2 ev was obtained through extrapolation of the trend at energies larger than 2 ev. FIG. 10 (Color Online): Single scattering distribution in 22 nm thick photoresist. Reprinted with permission from Proc. SPIE 9422, 942209 (2015). Copyright 2015 SPIE 14

The mean energy loss in the resist extracted from the scattering distribution is annotated in Fig. 10, and was found to be 34.5 ev. The total probability of inelastic events in the resist found by directly integrating the scattering distribution was found to be 0.17, and is also annotated in the plot. This value corresponds to the probability of scattering in a 22 nm thick resist for 120 kev electrons. Since the lithography experiments were performed using 100 kev electrons and a thickness of 45 nm, this probability was scaled to obtain the probability of scattering expected in the lithography experiments. The techniques summarized above were used to measure the absorption probability of 92 ev photons and 100 kev electrons in two leading chemically amplified resists. A summary is shown in Table I. Resist B has a 29% larger absorption probability compared to resist A for EUV photons, while the probability of an energy deposition event by 100 kev electrons is only 3% larger for resist B than for resist A. The interesting result however, is that the mean energy lost by the electrons in the resist is 34.5 ev. This is roughly 37.5% of the amount of energy delivered by an absorbed 92 ev EUV photon. TABLE I: Summary of measured e-beam and EUV absorption probabilities in a 45 nm thick positive tone chemically amplified photoresist. Reproduced with permission from Proc. SPIE 9422, 942209 (2015). Copyright 2015 SPIE Probability of Absorption (45 nm film) Exposure Source Resist A Resist B EUV (92 ev photon) 0.17 0.22 E-Beam (100 kev electron) 0.388 0.40 15

E. Other Issues with EUV and E-Beam Lithography Secondary issues that need to be considered when analyzing lithographic data are proximity effects in e-beam lithography and the contribution of the mask to the LER in the case of EUV lithography. 1. Proximity Effects in E-Beam Lithography The highly energetic 100 kev electrons, by the time they reach the bottom of the resist, lose only a small fraction of their energy in resists which are typically less than 50 nm thick. A fraction of the electrons back-scatter at the resist/silicon interface. Remainder of the electrons propagate through silicon until they lose all of their energy and come to a stop. The range of 100 kev electrons in silicon is expected to be 78.2 m, calculated using the stopping power from the NIST E-Star database [21]. The significance of the backscatter mechanism is that it is a long-range effect that can result in small levels of resist exposure up to tens of microns away from the exposed pixel. In the photoresist, the overall e-beam point spread function (PSF), in the polar co-ordinate system, takes the form shown in equation 3 [22]. 1 1 1 3 Here, h1(r),, and and represent the spatial profile of the beam incident on the sample surface, forward scatter range, back-scatter range, and the ratio of the total energy delivered through back-scattering in the resist, relative to forward scattering [23] respectively. The forward scattering range proves to be important when the resist thickness is large (e.g., on the order of microns), because it causes broadening of the electron beam at the bottom of the resist. For resists with thickness values on the order of about 50 nm 16

however, the beam broadening is a negligible effect [24]. The back-scatter range ( ) for 100 kev and 50 kev e-beam lithography were measured to be 8 m and 26 m respectively by Anderson et al. [25] for HSQ resist on silicon substrate. These values are in reasonable agreement with the values of 9.5 m for 50 kev and 31.2 m for 100 kev tabulated by Owen [24]. We approximate that the overall impact of back-scatter is to contribute a net DC shift on the final resist image intensities because the field sizes being patterned (20 20 ) are small enough in relation to the back-scatter range of 26 to 32 in the double- Gaussian approximation. Radially integrating the back-scatter Gaussian function from equation 3 for radii of integration ranging between 0 and the half-diagonal of the patterned field size and assuming 50% duty cycle of lines and spaces, we analytically derive the back-scattered DC contribution as 5.4% of the incident electron dose at the center of the exposure field. The value of in this calculation is assumed to be 0.74, as approximated by Owen [24] for 100 kev e-beam. The net impact of the DC shift in the resist image intensities is to slightly lower the contrast of the image formed in the resist, which can impact the exposure latitude. Since in our experimental approach LER comparisons are performed by matching the directly measured EUV and e-beam exposure latitudes, the back-scattering effects are accounted for in the analyses. 2. Mask Contributions to LER in EUV Lithography EUV masks consist of multilayer reflectors with absorber layers deposited in regions where no resist exposure is desired. Two of the major contributors to mask-induced LER are the surface roughness on the multilayer mirror and the line edge roughness on the absorber pattern itself, as described by Naulleau et al. [10]. An extensive investigation of 17

the mask contributors to aerial image LER as a function of numerical aperture (NA) and illumination conditions were conducted by McClinton [26]. In order to correct for maskinduced LER from the measured resist LER, we performed a calculation of the aerial image LER for 50 nm half-pitch line/space patterns for a range of defocus conditions by using a thin mask model [10]. The lithographic conditions in the simulation were picked to match the actual conditions used in the experiment. Two separate simulations were performed. In the first simulation, the contribution of the multilayer surface roughness to LER was determined by using as an input mask, binary line/space patterns overlaid on the spatial phase roughness of the multilayer surface. The phase roughness was calculated from the surface roughness of a representative mask measured directly with atomic force microscopy (AFM), by using the relation: 2 2 /, where PR represents the phase roughness that results from a mask with surface roughness (SR), and is the wavelength of EUV light (13.5 nm). A surface rougness of 100 pm rms was used to estimate phase roughness. As suggested by McClinton [26], this is the typical mask surface specification. In the second simulation, contribution of the absorber LER to the image-plane LER was simulated by using as an input mask, a line/space pattern with the desired spectral properties, and no multilayer roughness. A 3 absorber LER of 1.8 nm reported in the literature for a representative mask [10] was used, with a correlation length of 19 nm and a roughness exponent of 0.7 [27]. The mask with these spectral properties was generated by using the LER synthesis tool included in the SuMMIT software toolset [28]. The resulting wafer-plane LER values for the aerial image are shown in Fig. 11. The Berkeley MET (BMET) model for illumination conditions was used in this simulation. The 18

total LER was calculated by adding the multilayer surface roughness and the absorber LER contributions in quadrature. FIG. 11 (Color Online): Image plane LER due to mask multilayer surface roughness and absorber LER. Reprinted with permission from Proc. SPIE 9422, 942209 (2015). Copyright 2015 SPIE III. EXPERIMENTAL RESULTS EUV exposures of 50 nm half-pitch lines and space patterns were performed at the Berkeley MET (BMET), while the 100 kev e-beam exposures were performed at the Molecular Foundry at Lawrence Berkeley National Laboratory. The processing conditions are provided in Table II. The patterning experiments were performed on two chemically amplified resists. The bake conditions for the resists shown in Table II are nominal values for these resists. Scanning electron microscope (SEM) imaging was used as a technique for measuring the nano-scale roughness. The image processing and LER extraction algorithms 19

that are a part of the SuMMIT toolkit [28] were used for quantifying the LER. Considering that most of the LER is contributed by the lower frequency components in the power spectral denstiy (PSD) of the edge deviations, the SEM working distance and magnification were chosen such that the lines in a single image were adequately long, while retaining a reasonable scan pixel size. The extracted correlation lengths for the LER data are around 16 nm, and the line lengths are about 50x the correlation length. TABLE II: Summary of parameters for lithography experiments Parameter Resist A Resist B Resist Thickness 45 nm Resist Post-Apply Bake 110 o C / 90 s 130 o C / 60 s Resist Post-Exposure Bake 100 o C / 90 s 110 o C / 60 s CD Analyzed 50 nm half-pitch EUV Illumination Annular ( / = 0.35/0.55) E-Beam Lithography Pixel Size E-Beam Lithography Field Size LER PSD Analysis Length SEM Imaging Energy SEM Imaging Emission Current SEM Imaging Working Distance SEM raster scan pixel size 5 nm 20 m x 20 m 800 nm 2.0 kev 2.0 A 4.0 m 0.992 nm 20

Example SEM images are provided in Fig. 12 for the two lithography techniques used on two resists. Resist A prints with a larger LER than resist B. FIG. 12 (Color Online): Example SEM images for 50 nm line/space patterns demonstrating LER for two EUV resists patterned with EUV (a,c) and 100 kev e-beam (b,d) lithography A. Experimental Verification of Matched Exposure Latitudes The lithographic results demonstrating matched exposure latitudes (defined previously in equation 1) between EUV and e-beam patterning for the first resist are shown in Fig. 13. Blue points represent the measured linewidth (CD) as a function of exposure dose. The red dashed lines going through the data points are linear fits. In each plot, the remaining two dashed lines were calculated by using the standard deviations of the linear fitting parameters introduced by uncertainties in measured CD for a given dose. The exposure 21

latitude obtained with EUV lithography (Fig. 13a) was found to be larger than that obtained with binary e-beam lithography (Fig. 13b), likely because the spot size of this particular e- beam tool was larger compared to the EUV imaging condition that was used. The exposure latitudes were therefore matched by defocusing the EUV image. In Fig. 13c, CD vs. dose for +150 nm defocus of EUV image is shown. FIG. 13 (Color Online): CD vs. dose with exposure latitudes annotated for 50 nm line/space patterns for resist A; (a): EUV in-focus image; (b): E-beam in-focus image with binary dose pattern; (c): EUV defocused image. Reprinted with permission from Proc. SPIE 9422, 942209 (2015). Copyright 2015 SPIE For the second resist, the exposure latitude obtained with e-beam lithography (0.37) was larger than that obtained with an in-focus EUV image (0.29), as the resolution of the electron beam was improved after the exposures on the first resist. The exposure latitude here was matched by slightly degrading the e-beam image gradient, by programming a gray-scale pattern for dose delivered at each pixel, instead of a binary one. The gray-scale pattern shown in Fig. 4b was used, and the relative deposited dose values were controlled by modulating the beam dwell time for each pixel with a fixed landing current. In the actual patterning experiment however, it was found that EUV image had to be defocused by 50 nm in order to match the e-beam exposure latitude. The point spread function for the 22

Molecular Foundry e-beam tool used for the exposures likely had a slightly larger full width at half maximum compared to the CXRO tool that was used for computation of the gray-scale write pattern. The results for the second resist are shown in Fig. 14. FIG. 14 (Color Online): CD vs. dose with exposure latitudes annotated for 50 nm line/space patterns for resist B; (b): EUV image defocused by 1 focus step; (c): Grayscale e-beam image pattern showing close match in exposure latitude with EUV. Reprinted with permission from Proc. SPIE 9422, 942209 (2015). Copyright 2015 SPIE B. LER Statistics with Matched Exposure Latitudes The statistics of measured 3 LER for both of the resists are shown in Fig. 15. For resist A, the LER values measured three focus steps (150 nm) away from the best focus on each side were used to plot the statistics that have been provided. As shown previously in Fig. 5b, both of these defocus conditions result in exposure latitude that closely matches the e- beam exposure latitude. When plotting the distributions, the individual mask contributions for each focus that were previously demonstrated were first subtracted. The LER distributions for resist A are found to have a larger overlap than for resist B. 23

FIG. 15 (Color Online): Comparison of EUV/E-Beam LER statistics; (a-c): Data for resist A; (d-f): Data for resist B; (a,d): EUV results; (b,e): E-beam results; (c,f): Gaussian fit functions. Reprinted with permission from Proc. SPIE 9422, 942209 (2015). Copyright 2015 SPIE C. Summary Comparative summary of the EUV and e-beam lithographic data and the absorption density results are provided in Tables III and IV. For both the resists, we see that the absorption probability is larger for 100 kev e-beam compared to a 92 ev EUV photon. The incident particle flux values have been computed simply from the dose values. We find that the difference in absorption probability between EUV and e-beam is almost exactly canceled by a scaling of the dose needed to print roughly equal linewidths, as reflected in the absorbed particle flux values shown in the tables. These results show that with identical aerial images, this technique did not help with creation of a difference in absorption flux as had been originally intended. Possible explanations for this result may be that the 24

secondary electron spectra created in the material through ionization events are likely similar for EUV and 100 kev e-beam exposures, or that there may only be small differences, which ultimately do not strongly influence acid generation statistics. The data however, does show that both the resists result in larger LER when exposed with e-beam compared to EUV radiation, which suggests there may be other contributors to formation of roughness in e-beam lithography. TABLE III: Lithography and absorption data for resist A. Reprinted with permission from Proc. SPIE 9422, 942209 (2015). Copyright 2015 SPIE Parameter EUV 100 kev E-Beam CD 51.04 ± 1.21 nm 51.74 ± 1.54 nm Dose to size 15.75 mj/ cm 2 71 µc/cm 2 Incident Particle Flux 10.82 photons/ nm 2 4.44 e - / nm 2 Absorption Probability 0.17 0.402 Absorbed Particle Flux 1.84 photons/nm 2 1.78 e - /nm 2 25

TABLE IV: Lithography and absorption data for resist B. Reprinted with permission from Proc. SPIE 9422, 942209 (2015). Copyright 2015 SPIE Parameter EUV 100 kev E-Beam CD 49.55 ± 0.55 nm 49.38 ± 1.22 nm Dose to size 39.69 mj/cm 2 266 µc/cm 2 Incident Particle Flux 27.26 photons/nm 2 16.63 e - / nm 2 Absorption Probability 0.22 0.38 Absorbed Particle Flux 6 photons/nm 2 6.32 e - / nm 2 IV. CONCLUSIONS There are several material contributors to noise formation in an EUV photoresist. Here, a new approach of comparative analysis of EUV and e-beam lithography performance for experimental investigation of the influence of absorption count statistics on LER was tested. The probabilities of scattering of 92 ev photons and 100 kev electrons were measured, using reflectometry for EUV lithography and electron energy loss spectroscopy (EELS) for 100 kev e-beam lithography. From the results it was learned that despite having access to core energy levels in the resist (e.g., 284 ev ionization edge due to carbon), 100 kev electrons mostly excite only the valence band, and lose on average only about 35 ev in a resist film. The probability of absorption for 100 kev e-beam was determined to be 2.36x larger than for EUV photons for resist A, and 1.73x for resist B. In the lithography experiments however, these discrepancies in absorption probabilities are almost exactly canceled out by a scaling of the incident dose needed to print 50 nm half-pitch lines and 26

spaces. Considering that the volumetric density of acids needed to print a given feature has to be similar between the two techniques given the identical image quality, resist chemistry and processing (spin coating, bake and develop) steps, these results suggest that the secondary electron spectra in the resist may be similar, or that any differences in the spectra may not translate into significant differences in the net acid yield. Furthermore, the observation that with similar absorption counts the 100 kev electrons deposit only 35 ev energy in the resist films on average while the EUV photons lose 92 ev, suggests that the 100 kev electrons may be more effcient at initiating chemistry that ultimately leads to dissolution. With matched exposure latitudes and roughly equal absorption count however, e-beam lithography resulted in a larger mean LER than EUV lithography, which suggests the likely presence of other contributors to LER in e-beam lithography. ACKNOWLEDGMENTS The authors would like to thank Weilun Chao and the Berkeley MET (BMET) operators of the Center for X-Ray Optics (CXRO) at Lawrence Berkeley National Laboratory for help with e-beam lithography and EUV exposures respectively. The authors would also like to thank Julia Meyer-Ilse and Eric Gullikson of CXRO for help with reflectometry experiments. The authors thank Shaul Aloni from the Molecular Foundry at Lawrence Berkeley National Laboratory for help with performing the TEM-EELS experiments on EUV resists. The authors also thank the funding agencies for supporting this research including the IMPACT+ (Integrated Modeling Process and Computation for Technology) center and C-DEN (Center for Design-Enabled Nanofabrication). This work was performed in part at Lawrence Berkeley National Laboratory which is operated under the 27

auspices of the director, Office of Science, of the U.S. Department of Energy under contract no. DE-AC02-05CH11231. 1 A. Robinson, and R. Lawson, Materials and Processes for Next Generation Lithography, Elsevier (2016) 2 B. L. Henke, E. M. Gullikson, and J. C. Davis, At. Data Nucl. Data Tables 54, 181 (1993). 3 A. Narasimhan, L. Wisehart, S. Grzeskowiak, L. E. Ocola, G. Denbeaux, and R. L. Brainard, J. Photopolym. Sci. Technol. 30, 113 (2017). 4 R. L. Brainard, P. Trefonas, J. H. Lammers, C. A. Cutler, J. F. Mackevich, A. Trefonas, and S. A. Robertson, Proc. SPIE 5374 (2004). 5 A. V. Pret, T. Graves, D. Blankenship, and J. J. Biafore, Proc. SPIE 10146, 1014609 (2017). 6 S. Bhattarai, A. R. Neureuther, and P. P. Naulleau, Proc. SPIE 9422, 94222I (2015). 7 S. Bhattarai, A. R. Neureuther, and P. P. Naulleau, Proc. SPIE 9048, 904837 (2014). 8 S. Bhattarai, W. Chao, A. R. Neureuther, and P. P. Naulleau, Proc. SPIE 9048, 90481H (2014). 9 S. Bhattarai, W. Chao, S. Aloni, A. R. Neureuther, and P. P. Naulleau, Proc. SPIE 9422, 942209 (2015). 10 P. P. Naulleau, D. Niakoula, and G. Zhang. J. Vac. Sci. Technol. B 26, 1289 (2008). 11 P. P. Naulleau, C. N. Anderson, L. Baclea-an; D. Chan, P. Denham, S. George, K. A. Goldberg, B. Hoef, G. Jones, C. Koh, B. L. Fontaine, B. McClinton, R. Miyakawa, W. Montgomery, S. Rekawa, T. Wallow, Proc. SPIE 7636, 76361J (2010). 12 E. M. Gullikson, S. Mrowka, B. B. Kaufman, Proc. SPIE 4343, 363 (2001). 13 C. Mack, Fundamental Principles of Optical Lithography (John Wiley & Sons, West Sussex, 2007) 28

14 P. de Schepper, A. V. Pret, T. Hansen, A. Giglia, K. Hoshiko, A. Mani, and J. J. Biafore, Proc. SPIE 9425, 942507 (2015). 15 A. Narasimhan, S. Grzeskowiak, B. Srivats, H. C. Herbol, L. Wisehart, C. Kelly, W. Earley, L. E. Ocola, M. Neisser, G. Denbeaux, and R. L. Brainard, Proc. SPIE 9422, 942208 (2015). 16 S. Bhattarai, A. R. Neureuther, and P. P. Naulleau, Proc. SPIE 9779, 97790B (2016). 17 D. F. Ogletree, X-rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry, EUV Lithography Workshop (2017). 18 R. F. Egerton, Electron Energy Loss Spectroscopy in the Electron Microscope (Springer, New York, 2011). 19 M. Mecklenburg, W. A. Hubbard, E. R. White, R. Dhall, S. B. Cronin, S. Aloni, and B. C. Regan, Science 347, 629 (2015). 20 I. Waki, and Y Hirai, J. Phys.: Condens. Matter 1, 6755 (1989). 21 M. J. Berger, ESTAR, PSTAR, and ASTAR: Computer Programs for Calculating Stopping- Power and Range Tables for Electrons, Protons, and Helium Ions (National Institute of Standards and Technology, Gaithersburg, 1992). 22 S. A. Rishton, and D. P. Kern, J. Vac. Sci. Technol., B 5, 135 (1987). 23 T. Kozawa, T. Shigaki, K. Okamoto, A. Saeki, and S. Tagawa, J. Vac. Sci. Technol., B 24, 3055 (2006). 24 G. Owen, J. Vac. Sci. Technol., B 8, 1889 (1990). 25 E. H. Anderson, D. L. Olynick, W. Chao, B. Harteneck, and E. Veklerov, J. Vac. Sci. Technol., B 19, 2504 (2001). 26 B. McClinton, Mask roughness induced line edge roughness in extreme ultraviolet lithography, PhD thesis, UC Berkeley (2011). 29

27 P. P. Naulleau, and S. A. George, Proc. SPIE 7379, 73790O (2009). 28 P. Naulleau, C. Anderson, W. Chao, S. Bhattarai, and A. Neureuther, J. Photopolym. Sci. Technol. 27, 747 (2014). 29 G. M. Gallatin, P. Naulleau, D. Niakoula, R. Brainard, E. Hassanein, and R. Matyi, J. Thackeray, K. Spear, K. Dean, Proc. SPIE 6921, 69211E (2008) 30