Sponsored by. Contract No. N K-0073: Modification P00006 DARPA Order 5674 NR

Similar documents
Lecture 9: Metal-semiconductor junctions

89 3 rw F 1IC SOLID STATE ELECTRONICS LABORATORY. ITT FILE (copy ~1 STANFORD ELECTRONICS LABORATORIES STANFORD UNIVERSITY STANFORD, CA 94305

PHYSICAL ELECTRONICS(ECE3540) CHAPTER 9 METAL SEMICONDUCTOR AND SEMICONDUCTOR HETERO-JUNCTIONS

Hydrogenation of Single Walled Carbon Nanotubes

Sponsored by. The Defense Advanced Research Projects Agency (DoD) The Office of Naval Research

PHYSICAL ELECTRONICS(ECE3540) CHAPTER 9 METAL SEMICONDUCTOR AND SEMICONDUCTOR HETERO-JUNCTIONS

UJR1. [ LL IA~rJRFPORT DOCUMENTATION PAGE

Origin of Metallic States at Heterointerface between Band Insulators LaAlO 3 and SrTiO 3

The effectiveness of HCl and HF cleaning of Si 0.85 Ge 0.15 surface. Stanford Synchrotron Radiation Lab, Menlo Park, CA 94025

Figure 3.1 (p. 141) Figure 3.2 (p. 142)

Carrier Transport Mechanisms of a-gaas/ n-si Heterojunctions

Electron Energy, E E = 0. Free electron. 3s Band 2p Band Overlapping energy bands. 3p 3s 2p 2s. 2s Band. Electrons. 1s ATOM SOLID.

Module-6: Schottky barrier capacitance-impurity concentration

Name: (a) What core levels are responsible for the three photoelectron peaks in Fig. 1?

Photoelectron Peak Intensities in Solids

Influence of Size on the Properties of Materials

Study of interface asymmetry in InAs GaSb heterojunctions

Self-Assembled InAs Quantum Dots

The interfacial study on the Cu 2 O/Ga 2 O 3 /AZO/TiO 2 photocathode for water splitting fabricated by pulsed laser deposition

ECE 340 Lecture 35 : Metal- Semiconductor Junctions Class Outline:

Formation of Cesium Peroxide and Cesium Superoxide on InP Photocathode. Activated by Cesium and Oxygen. Yun Sun, Zhi Liu, Piero Pianetta

ELEC 4700 Assignment #2

Properties of Individual Nanoparticles

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

Auger Electron Spectroscopy

Semiconductor Nanowires: Motivation

Au-Ti THIN FILMS DEPOSITED ON GaAs

(a) (b) Supplementary Figure 1. (a) (b) (a) Supplementary Figure 2. (a) (b) (c) (d) (e)

1. Binary III-V compounds 2 p From which atoms are the 16 binary III-V compounds formed?...column III B, Al, Ga and In...column V N, P, As and Sb...

Band diagrams of heterostructures

ECE236A Semiconductor Heterostructure Materials Basic Properties of Semiconductor Heterostructures Lectures 2 & 3 Oct. 5, 2017

Energy Spectroscopy. Ex.: Fe/MgO

* motif: a single or repeated design or color

XPS/UPS and EFM. Brent Gila. XPS/UPS Ryan Davies EFM Andy Gerger

The first three categories are considered a bottom-up approach while lithography is a topdown

W.P. Ellis, G.H. Kwei, K.C. Ott. J.-S. Kang, J.W. Allen

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Supporting Information

Hard X-ray Photoelectron Spectroscopy Research at NIST beamline X24A. Joseph C. Woicik NIST

THE SILICON/GERMANIUM (111) INTERFACE : THE ONSET OF EPITAXY

Physics and Material Science of Semiconductor Nanostructures

Summary of Schottky barrier height data on epitaxially grown n- and p-gaas

STUDY OF LAYERS OF METAL NANOPARTICLES ON SEMICONDUCTOR WAFERS FOR HYDROGEN DETECTION

Lecture 2. Introduction to semiconductors Structures and characteristics in semiconductors

In order to determine the energy level alignment of the interface between cobalt and

Electrochemically Synthesized Multi-block

Eli-' STUDIES OF METAL-SEMI.. (U) WISCONSIN UNIV-MADISON DEPT OF PHYSICS G MARGARITONDO OCT 84 NOM94-88-C-0908 UNCLASSIFIED F/G 28/2 NL

Lecture 2. Introduction to semiconductors Structures and characteristics in semiconductors. Fabrication of semiconductor sensor

Atomic Level Analysis of SiC Devices Using Numerical Simulation

8 Summary and outlook

Surface alloying of Pb as a surfactant during epitaxial growth on Cu(111)

Unpinned Schottky barrier formation at metal... GaAs interfaces

Metal Semiconductor Contacts

A HYDROGEN SENSITIVE Pd/GaN SCHOTTKY DIODE SENSOR

High Resolution Photoemission Study of the Spin-Dependent Band Structure of Permalloy and Ni

Shu Hu 1,2, Matthias H. Richter 1,2, Michael F. Lichterman 1,2, Joseph Beardslee 2,4, Thomas Mayer 5, Bruce S. Brunschwig 1 and Nathan S.

Bremsstrahlung Isochromat Spectroscopy (BIS)

Review of Semiconductor Fundamentals

Angular dependence of the photoelectron energy distribution of InP(100) and. GaAs(100) negative electron affinity photocathodes

Each copy of any part of a JSTOR transmission must contain the same copyright notice that appears on the screen or printed page of such transmission.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

Cross-Section Scanning Tunneling Microscopy of InAs/GaSb Superlattices

Metal Semiconductor Contacts

Lecture 5. X-ray Photoemission Spectroscopy (XPS)

Metal/GaAs interface chemical and electronic properties: GaAs orientation dependence

Traps in MOCVD n-gan Studied by Deep Level Transient Spectroscopy and Minority Carrier Transient Spectroscopy

lm1,1A1Hh"1i AD-A Bloomington, IN SEP Larry L. Kesmodel OFFICE OF NAVAL RESEARCH

Auger Electron Spectrometry. EMSE-515 F. Ernst

Theory of doping graphene

Electrons are shared in covalent bonds between atoms of Si. A bound electron has the lowest energy state.

Published in Physical Review B 63, issue , 1-9, 2001 which should be used for any reference to this work

Optimizing Graphene Morphology on SiC(0001)

CTU Prague RD50 Group

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

Surface Characte i r i zat on LEED Photoemission Phot Linear optics

Semiconductor Detectors

2) Atom manipulation. Xe / Ni(110) Model: Experiment:

On surface synthesis of a 2D boroxine framework: a route to a novel 2D material?

Advantages / Disadvantages of semiconductor detectors

Raman spectroscopy of self-assembled InAs quantum dots in wide-bandgap matrices of AlAs and aluminium oxide

Supplementary Materials for

R. Ludwig and G. Bogdanov RF Circuit Design: Theory and Applications 2 nd edition. Figures for Chapter 6

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

Conductivity and Semi-Conductors

Surfaces, Interfaces, and Layered Devices

SUPPLEMENTARY INFORMATION

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

Supplementary Figures

Lecture 2. Introduction to semiconductors Structures and characteristics in semiconductors

Fabrication Technology, Part I

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

NanoEngineering of Hybrid Carbon Nanotube Metal Composite Materials for Hydrogen Storage Anders Nilsson

Scanning Tunneling Microscopy. how does STM work? the quantum mechanical picture example of images how can we understand what we see?

Role of Si adatoms in the Si 111 -Au 5 2 quasi-one-dimensional system

Supporting Information

structure and paramagnetic character R. Kakavandi, S-A. Savu, A. Caneschi, T. Chassé, M. B. Casu Electronic Supporting Information

Photoelectron Interference Pattern (PEIP): A Two-particle Bragg-reflection Demonstration

2D MBE Activities in Sheffield. I. Farrer, J. Heffernan Electronic and Electrical Engineering The University of Sheffield

Microscopical and Microanalytical Methods (NANO3)

Transcription:

OTIC FILE COP Study of Interfacial Chemistry between Metals and Their Effects on Electronic Systems q. o Sponsored by 00 Defense Advanced Research Projects Agency (DOD) and The Office of Naval Research (ONR) a ARPA Order No. 3564 Amd 8 Contract No. N00014-83-K-0073: Modification P00006 DARPA Order 5674 NR 4147126-02 Semiannual Technical Report: April 1, to September 30, 1986 Effective date of contract: 10-1-85 Contract dates: 10-1-85 to 9-30-88 Principal Investigators: W. E. Spicer and I. Lindau Stanford Electronics Laboratories Stanford University Stanford, CA 94305 (415) 723-4643 The views and conclusions contained in this document are those of the authors and should not be interpreted as representing the official policies, either expressed or implied, of the Defence Advanced Research Projects Agency or the US Government. 18WI FrTo A Approved for public coleonel R 4 29 04(

Electrical Study of Schottky Barrier Heights on Atomically Clean p-type InP (110) Surfaces W e s t u d i e d th e e le i P d, n.r'1p dni/type lodes which were fabricated by in situ metal deposition on atomically clean InP (110) surfaces in ultra high vacuum. Schottky barrier heights were determined from current-voltage (I-V) and capacitance-voltage (C-V) measurements. We found a small, but significant range in barrier heights (0.76 ev to 0.98 ev). Comparison of these p-type results to our earlier work on n-type surfaces shows that for these interfaces, the Fermi level of n- type and p-type samples is pinned at the same energy within the bandgap. (These results are similar to those previously reported by us for the GaAs interfaces prepared under the same conditions (only the absolute values of the pinning energies are different). Our results indicate that Schottky barrier models that use metal-independent interface states and potential normalization conditions (i.e. natural band lineups) can predict the general trends in the interface Fermi level pinning behavior. They fail, however, to provide quantitative agreement with experiment. We applied a theoretical method to determine the natural band lineups at the interface (using a scheme due to O.K. Anderson) and found that the use of work functions or electronegativities gives analogous correlationsk so -investigated was the effect of air exposure on the electrical characteristics of diodes. For in situ I-V measurements, the metal/semiconductor systems were characterized by a near unity (1.03-1.10) ideality factor n. Upon exposure to air we found a large increase in the current and ideality factor n for several (Cu, Au, Pd, Mn, Ni) metal/p-type InP systems at all measured biases. A detailed investigation of the Pd/p-type InP system indicated that the "excess" current pathway which results from exposure to air is at the periphery and can be eliminated by mesa etching. A similar mechanism is most likely at play for other systems. * This work was partially supported by the Air Force Contract. Fermi level pinning for very thin metallic overlayers We extended the studies of Schottky barrier formation (Fermi level pinning) to very low 0 metal thicknesses. These studies of the initial stages of Schottky barrier formation are IJ extremely important to elucidate mechanisms responsible for the rectifying barrier. A specially built evaporator allowed reproducible metal exposures as low 1/10000 of monolayer. We find that surface Fermi level movement is an exponential function of the coverage. These data cannot be explained by existing models of the Schottky barrier 'des AI Dist

formation. Several possible mechanisms for this behavior are currently being considered. At this time the most plausible explanation seems to be given by the microscopic cluster model developed by us in cooperation with S. Doniach. Computer modeling of the data using this approach is now in progress. Amonhous Si/nP hetiunction interface- We studied the Si/InP interface [1] at coverages up to 12 ML. The interface is stable with respect to temperature up to 300'C, and grows with a uniform layer for the first several monolayers (morphology information is not available above 3 ML). The Fermi level is pinned by n-gap defect states induced by the silicon at 0.4 ev below the conduction band minimum at and above the first monolayer. The valence band discontinuity is 0.56 ± 0.1 ev and 0.46 ± 0.1 ev for the 20*C and 280*C substrate growth temperatures. The difference is within experimental error, and in agreement with experiments results in the literature [2]. The overlayer is not epitaxial and all apparent surface long range order (measured by Low Energy Electron Diffraction) disappears by one monolayer. Crystallites are not forming and the overlayer is amorphous Si. Current theories for the valence band discontinuity for this system are performed for an ideal, epitaxial (yet unstrained) interface and achieve only modest agreement with the experiment. Harrison's Universal Parameter Tight Binding Theory [3] predicts AEv = 0.1 ev and Tersoff's complex band structure alignment [41 theory gives 0.40 ev. More work needs to be done on epitaxial and non-epitaxial interfaces before all of the important physical effects are identified and included in the theories. 1. P. H. Mahowald, R. S. List, J. Woicik, P. Pianetta and W. E. Spicer, Phys. Rev. B 34, 7069 (1986). 2. A. D. Katnani, G. Margaritondo, Phys. Rev. B 28, 1944 (1983). 3. W. A. Harrison, Phys. Rev. B 24, 5835 (1981). 4. J. Tersoff, Phys. Rev. B 30, 4874 (1984). Chemistry and Schottky barrier formation at the Ti and Pt/GaAs interfaces New studies of Pt and Ti on GaAs were performed at SSRL using SXPS. The objective of the Pt experiments was to investigate the room temperature chemical reactions on n-type material and to confirm UPS studies on the surface Fermi level pinning on p-type material. " I

The Ti experiments concentrated on the effects of annealing on n-type GaAs. In addition, a room temperature experiment with Ti on p-type GaAs investigated whether or not atomic exchange reactions occur for this system. Analysis of the data from the Pt experiments showed very good agreement with the earlier UPS results. Extensive computer analysis using curvefitting routines to separate surface, substrate, and reacted components was used. The Fermi level stabilized at -0.5 ev above the VBM on both n- and p-type GaAs. The overlayer/substrate intermixing was found to be similar to that observed for the Au-GaAs system. A Pt-Ga compound was found at an energy 0.4 ev lower in energy (with respect to Ga in GaAs), which is in good agreement with calculations performed by Nogami [1] to determine energy shifts for Ga in infinite dilution in an overlayer matrix. The As 3d spectra chemistry show evidence of two bonding configurations which appear at submonolayer coverages. As the overlayer develops one of the components dominates and stabilizes at an energy - 0.3 ev higher than the As in GaAs. We began analysis of the Ti annealing data. These results show greater outdiffusion of the substrate constituents than for Pt overlayers. There is an increase in the width of the Ti-Ga layer from that observed at room temperature with a corresponding increase in the Ti-As layer width. The elemental Ga appears to be trapped near the overlayer-semiconductor interface because at a coverage of 6.7 ML the binding energy of the Ga peak increases from the room temperatue value. Also, the Ti-As layer seems to inhibit further outdiffusion of the Ga. The stoichiometry Ti-As compound appears to be fairly stable with temperature, as there is little change in the energy of this peak. 1. J. Nogami, T. Kendelewicz, I. Lindau, and W. E. Spicer, Phys. Rev. B 34, 669 (1986). Chemical reaction at the In on GaAs interface- a synchrotron radiation study. We have recently reported a PES study of the chemical reaction at the In on GaAs interface, in which 40.8 ev photons from a Helium discharge lamp were utilized as the light source [1]. Upon annealing the interface above the In melting point, the In 4d spectrum contained a component shifted to 0.8 ev higher binding energy from the unreacted In 4d peak. This component is indicative of In bonded covalently, as in InAs. Consequently it was suspected that the energetically unfavorable replacement reaction c In + GaAs --> InxGal.xAs + Inc.xGay + (x - y) Ga occurs at the interface. However, Ga 3d signals from the segregated elemental Ga and alloyed Ga reaction products could not be resolved. We suspected that the failure to resolve these signals was due to the proximity in binding energy of the elemental Ga 3d core level and the covalent In 4 core level. At 40.8 ev, the

photoionization cross section of In 4d is 7.5 times that of Ga 3d, and hence a potential elemental Ga 3d signal would be washed out by the stronger In 4d. Thus to study the possibility of a replacement reaction, synchrotron radiation, which allows adjustment in relative signal strength between the In 4d and Ga 3d peaks, was utilized. The In 4d cross section passes through a Cooper minimum, and as a result, at roughly 140 ev the Ga 3d to In 4d signal ratio is maximized. With the In 4d signal minimized, we can see that the Ga 3d spectra from the annealed surfaces have nearly the same width and lineshape as that of the clean surface. The photoemission spectra of the annealed In/ n-gaas (110) interface thus show no evidence of the elemental Ga reaction product expected for a replacement reaction. One possible explanation is that the elemental Ga was sufficiently alloyed in In or clustered to be difficult to detect with PES. It must be noted that in the case of Al on n-gaas such a difficulty does not occur, and the segregated Ga peak is clear in the Ga 3d spectrum. Another possibility is that upon heat treatment the molten In reacts with excess As, which has been reported to exist in GaAs. We have not detected free As in our PES measurements. Certainly the existence of significant quantities of excess As in GaAs would have implications on reactions beyond the In / GaAs system under study, and whether the reactions observed in other GaAs systems are consistent with such an excess must be investigated.