Status of EUV Sources for Mask Metrology

Similar documents
A Straight Forward Path (Roadmap) to EUV High Brightness LPP Source

High Brightness Electrodeless Z-Pinch TM EUV Source for Mask Inspection Tools

High Brightness EUV Light Source System Development for Actinic Mask Metrology

High Brightness EUV Light Source for Metrology

Properties of High Intensity EUV Micro-Plasma Pulsed Discharge EUV source

EUV Lithography Status and Key Challenges for HVM Implementation

Padraig Dunne, UCD School of Physics Dublin, Ireland.

EUV Lithography and EUVL sources: from the beginning to NXE and beyond. V. Banine

High Brightness EUV Light Source for Actinic Inspection & Microscopy

EUV Lithography Towards Industrialization

EUVL Readiness for High Volume Manufacturing

Evaluation at the intermediate focus for EUV Light Source

High intensity EUV and soft X-ray X plasma sources modelling

Overview of EUV Lithography and EUV Optics Contamination

Modelling of high intensity EUV light sources based on laser- & discharge- produced plasmas

Optical Design for Affordable EUV Lithography Michael Goldstein, Ph.D. Vivek Bakshi, Ph.D. October 31, 2007

Wavelength-Specific Reflections A Decade of EUV Mask Inspection Research

Laser-produced extreme ultraviolet (EUV) light source plasma for the next generation lithography application

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Ablation Dynamics of Tin Micro-Droplet Target for LPP-based EUV light Source

Progress on ASML s EUV Alpha Demo Tool

EUREKA: A new Industry EUV Research Center at LBNL

Analysis, simulation, and experimental studies of YAG and CO 2 laserproduced plasma for EUV lithography sources

Pulsed-power based bright EUV light source for metrology

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Optimization of laser-produced plasma light sources for EUV lithography

EUV-Technology with Discharge EUV-Lamp"

Radiative Hydrodynamic Simulation of Laser-produced Tin Plasma for Extreme Ultraviolet Lithography

EUV lithography industrialization for HVM

Actinic review of EUV masks: First results from the AIMS EUV system integration

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Extreme ultraviolet light source development to enable pre-production mask inspection. Stephen F. Horne, Donald K. Smith

Enhanced Performance of Multilayer Optics for Water Window Microscopy

A laser-produced plasma extreme ultraviolet (EUV) source by use of liquid microjet target

IEUVI Mask Technical Working Group

Analysis of carbon contamination on EUV mask using CSM/ ICS

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Efficient EUV source by use of a micro-target containing tin nanoparticles

Comparison of Techniques to Measure the Point Spread Function due to Scatter and Flare in EUV Lithography Systems

Lecture 14 Advanced Photolithography

Plasma EUV source has been studied to achieve 180W of power at λ=13.5nm, which is required for the next generation microlithography

Fundamental investigation on CO 2 laser-produced Sn plasma for an EUVL source

Characterization of the Tin-doped droplet laser plasma EUVL sources for HVM

X-ray Free-electron Lasers

Progress in LPP EUV Source Development by Japan MEXT Project

Status of multilayer coatings for EUV Lithography

Cost Implications of EUV Lithography Technology Decisions

Customized EUV optics made by optix fab

We published the text from the next page.

Visualization of Xe and Sn Atoms Generated from Laser-Produced Plasma for EUV Light Source

Research Article Development of Laser-Produced Tin Plasma-Based EUV Light Source Technology for HVM EUV Lithography

LDLS Laser-Driven Light Source

The Future of EUV sources: a FIRE perspective

The nature and characteristics of particles produced by EUV sources : exploration, prevention and mitigation Gielissen, K.

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

EUVL for HVM: Progress Update

E152 Standard Revision: EUV-pod Reticle Carrier

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Measurement of EUV scattering from Mo/Si multilayer mirrors

COST MP0601 Short Wavelength Laboratory Sources

Cost of Ownership Considerations for Maskless Lithography

UC San Diego EUV Lithography Group Progress Report

Rare-earth plasma extreme ultraviolet sources at nm for next generation semiconductor lithography

Thermal Expansion property and Surface Finish capability of CLEARCERAM -Z series for EUVL Photomask Substrate Application

Laser plasma EUVL sources progress and challenges

EUV sources using Xe and Sn discharge plasmas

Laser Plasma Monochromatic Soft X-ray Source Using Nitrogen Gas Puff Target

Debris characterization and mitigation from microscopic laser-plasma tin-doped droplet EUV sources

SEMATECH 157nm Technical Review

EUV Source Developments on Laser-Produced Plasmas using Lithium New Scheme Target

Free-electron laser SACLA and its basic. Yuji Otake, on behalf of the members of XFEL R&D division RIKEN SPring-8 Center

The study for image placement repeatability of EUV mask on the flat chuck

EUV lithography and Source Technology

2017 Source Workshop (November 6-8, 2017), Dublin, Ireland. Session # Presenter Company Title Oral / Poster

Synchrotron radiation: A charged particle constrained to move in curved path experiences a centripetal acceleration. Due to it, the particle radiates

Utsunomiya University Experiments, September - November 2011

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

Resist-outgas testing and EUV optics contamination at NIST

LASER-COMPTON SCATTERING AS A POTENTIAL BRIGHT X-RAY SOURCE

PROCEEDINGS OF SPIE. 100W EUV light-source key component technology update for HVM

Peculiarities of Modeling LPP Source at 6.X nm

Solar Observation using ALMA

Optimization of EUV Lithography Plasma Radiation Source Characteristics Using HELIOS-CR

Session # Name Company Title O/P

EUV-collector mirrors for high-power LPP sources

Higher -o-o-o- Past Paper questions o-o-o- 3.4 Spectra

SLAC Summer School on Electron and Photon Beams. Tor Raubenheimer Lecture #2: Inverse Compton and FEL s

EUV & Soft-X X Radiation Plasma Sources

Novel metrology for measuring spectral purity of KrF lasers for deep UV lithography

Synchrotron radiation: A charged particle constrained to move in curved path experiences a centripetal acceleration. Due to this acceleration, the

Review of the doctoral dissertation of Ismail Saber titled: Spectral investigation of extreme ultraviolet induced plasmas

Physics 476LW Advanced Physics Laboratory Atomic Spectroscopy

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

EUV Reflectivity measurements on Acktar Sample Magic Black

A Compact Storage Ring for the Production of EUV Radiation

The Performance of the EUV Spectroscope (EXCEED) Onboard the SPRINT-A Mission

Laser triggered Z-pinch broadband extreme ultraviolet source for metrology

Wolter Imaging On Z. Chris Bourdon, Manager Z Imaging and Spectroscopy Julia Vogel, LLNL; Ming Wu, SNL ICF Diagnostics Workshop, October 5 th 2015

Transcription:

Status of EUV Sources for Mask Metrology Vivek Bakshi, Ph.D. EUV Litho Inc. 10202 Womack Road, Austin, TX 78748 USA www.euvlitho.com vivek.bakshi@euvlitho.com

Outline Background Current Technology Status Source Brightness Calculation Examples of Source Brightness Lessons from High Power EUV Sources Specs Proposals Summary 2

Actinic Mask Defect Inspection Background Three type of actinic mask defection tools are needed by the industry for EUVL deployment to HVM Aerial Imaging tool Mask Blank Defect Inspection tool Pattern Mask Defect Inspection tool Tools needed by as soon as possible to support beta scanners Present Technology Status Mask Blank inspection tool operational at MIRAI with Energetiq DPP source Inspection capabilities available at LBNL with synchrotron Metrology Suppliers are starting to build AIMS tool and source requirements not finalized for other inspection tools 3

Actinic Mask Defect Inspection Background As etendue of defect inspection system optics is small, only a small portion of light source is used This makes HVM Source technology too costly Key parameter for EUV Sources for Actinic Inspection is source brightness (and not average power): W/mm2-sr COO and technology readiness are important Opportunity for alternative EUV Source Technologies Future Direction Industry needs to develop unified requirements for EUV sources for Actinic Inspection -similar to Joint Requirements for EUV Sources 4

Mask Inspection Tools Represent Serious EUVL Infrastructure Gap Source: Bryan Rice, SEMICON West, July 15, 2009

Current Technology Status Source Brightness Calculations Brightness : W/mm 2.sr Example for a DPP Source Source Power: P=10 W (at source) Measured in 2% BW in 2π sr Source Area: A=0.07 mm 2 Diameter (FWHM)= 0.3 mm / Radius=0.15 mm Etendue: E= A/(2π)= 0.011 mm 2.sr B= P/(A*2*π) =P/E =22.5 W/mm 2.sr Source Power defined after Debris mitigation Brightness needs to be measured Source Emission is non-uniform and shape may be ellipsoidal. Not all EUV sources emit radiation in 2π 6

Current Technology Status EUV Source Brightness: Current Status Technology Power (W) Viewing Angle (sr) Source Radius (mm) Area Etendue (mm2) ( mm2-sr) Brightness (W/mm2- sr) DPP 5 2 Pi 0.15 0.07 0.44 11.27 15 2 Pi 0.15 0.07 0.44 33.81 40 2 Pi 2.00 12.56 78.88 0.51 100 2 Pi 2.00 12.56 78.88 1.27 200 2 Pi 3.00 28.26 177.47 1.13 0.1 1.00E-04 1.00E+03 1 1.00E-04 1.00E+04 LPP 25 2 Pi 0.10 0.03 0.20 126.78 50 2 Pi 0.10 0.03 0.20 253.56 100 2 Pi 0.10 0.03 0.20 507.12 200 2 Pi 0.10 0.03 0.20 1.01E+03 Alternate 1 1.00E-03 1.00E-04 0.01 6.15E-04 6.15E-08 1.62E+04 Alternate 2 1.00E-03 1.00E-04 3.00E-03 3.00E-07 3.33E+03 7

Lessons learned from the Selection of High Power Sources Need specs agreed by end customers and suppliers Throughput and defect size will drive the requirements, defined by end users Need designs can reduce the source requirements Agreed Source and Tool Specs need to include Location of Source specs (at IF or at source?) Range of source parameters, e.g. etendue Who owns the collector : Source supplier or the integrator? Are source suppliers responsible to be a SoCoMo suppliers (as in the case of high power sources for scanners)? 8

AIMS Tool Specs Proposal AIMS Supplier A Supplier B Supplier C Supplier D Proposal Wavelength 13.5 13.5 Source Power at plasma (2% BW in 2 pi) 0.2 >10 Source Size at plasma (dia) mm 0.2 0.1 Source Size at plasma (area) mm2 3.14E-02 7.85E-03 Source Power at IF (2% BW in 2 pi) 0.25 Source area (mm2) Etendue of source Output (mm2-sr) 2.90E-03 4.93E-02 Max. Solid angle to system (sr) Area of source at IF (mm2) Source dia at IF (mm) 3.16E-02 Brightness ( W/mm2-sr) 8.62E+01 >200 Repetition Rate ( K Hz) Integrated Energy Stability (%) 1 Source Cleanliness (10% loss for B pulses) Spectral Purity Tool Specs Stage Velocity (mm/s) Inspection time for one blank 1 1 Defect Inspection Capability (Width) (nm) Per Node Defect Inspection Capability (Height) (nm) 0.05 x Field of view (FOV) mm x mm 0.05 Number of Mirrors 4 Source: 2009 EUVL Workshop 9

AIMS Tool: Source Brightness Requirements 10

Mask Blank Inspection Tool Specs Proposal Mask Blank Supplier A Supplier B Supplier C Supplier D Proposal Wavelength 13.5 13.5 Source Power at plasma (2% BW in 2 pi) >10 >25 Source Size at plasma (dia) mm 0.4 0.1 Source Size at plasma (area) mm2 1.26E-01 7.85E-03 Source Power at IF (2% BW in 2 pi) >0.1 10 5.8 Source area (mm2) <0.12 Etendue of source Output (mm2-sr) <0.01 0.1 2.00E-03 4.93E-02 Max. Solid angle to system (sr) <0.1 Area of source at IF (mm2) 5.02E-01 Source dia at IF (mm) Brightness ( W/mm2-sr) >14 100 2.90E+03 >500 Repetition Rate ( K Hz) >2 >5 Integrated Energy Stability (%) 1 1 Source Cleanliness (10% loss for B pulses) 30 100 Spectral Purity N/A N/A Tool Specs Stage Velocity (mm/s) 5 Inspection time for one blank 2 1 1 Defect Inspection Capability (Width) (nm) 40 Per Node Defect Inspection Capability (Height) (nm) 1.5 Field of view (FOV) mm x mm 0.5 x 0.5 1 x 1 Number of Mirrors 4 Source: 2009 EUVL Workshop 11

Patterned Mask Inspection Tool Specs Proposal Patterned Mask Supplier A Supplier B Supplier C Supplier D Proposal Wavelength 13.5 Source Power at plasma (2% BW in 2 pi) >100 Source Size at plasma (dia) mm Source Size at plasma (area) mm2 Source Power at IF (2% BW in 2 pi) Source area (mm2) Etendue of source Output (mm2-sr) Max. Solid angle to system (sr) Area of source at IF (mm2) Source dia at IF (mm) Brightness ( W/mm2-sr) 2.51E+03 >2000 Repetition Rate ( K Hz) Integrated Energy Stability (%) Source Cleanliness (10% loss for B pulses) Spectral Purity Tool Specs Stage Velocity (mm/s) Inspection time for one blank 1 Defect Inspection Capability (Width) (nm) Per Node Defect Inspection Capability (Height) (nm) Field of view (FOV) mm x mm Number of Mirrors 4 Source: 2009 EUVL Workshop 12

Summary Industry needs Actinic Mask Defect inspection tools as soon as possible to support EUVL in HVM EUV Source with sufficient high brightness will be the key enablers of these metrology tools and these sources are not commercially available today High power EUV Sources are not suitable for metrology sources due to high COO R&D needed to increase brightness of Low power DPP and LPP sources to meet requirements Alternative EUV source technologies may offer high brightness sources for metrology (EUV Lasers, Laser Compton effect, table-top synchrotron) 13