Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Similar documents
Sensitization mechanisms of chemically amplified EUV resists and resist design for 22 nm node. Takahiro Kozawa and Seiichi Tagawa

EUV Resist-Fundamental Research

Radiation Chemistry of EUV and EB Resists

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

Relationships between Stochastic Phenomena and Optical Contrast in Chemically Amplified Resist Process of Extreme Ultraviolet Lithography

Acid Quantum Efficiency of Anion-bound Chemically Amplified Resists upon Exposure to Extreme Ultraviolet Radiation

Is an EUV Film Quantum Yield of 30 Possible?

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

Title. CitationChemical physics letters, 657: Issue Date Doc URL. Rights

Application of Stochastic Modeling to Resist Optimization Problems

X-Rays, Electrons and Lithography: Fundamental Processes in Molecular Radiation Chemistry

Polymer Matrix Effects on EUV Acid Generation

Chapter V: Interactions of neutrons with matter

Next: 193nm Lithography

More on Stochastics and the Phenomenon of Line-Edge Roughness

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Measurement of the role of secondary electrons in EUV resist exposures

Ionization Detectors

3. Gas Detectors General introduction

Takeo Watanabe Center for EUVL, University of Hyogo

A Simple Model of Line-Edge Roughness

ELEMENTARY RADIATION CHEMISTRY

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Photolithography II ( Part 1 )

Line Edge Roughness, part 2

doi: /PhysRevLett

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

General Overview of Gas Filled Detectors

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Chemistry Instrumental Analysis Lecture 8. Chem 4631

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Laser Dissociation of Protonated PAHs

Ionizing radiation produces tracks defined by the geometry of the energy deposition events. An incident ion loses energy by Coulombic interactions

EUVL Readiness for High Volume Manufacturing

Ionization Detectors. Mostly Gaseous Detectors

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Current Status of Inorganic Nanoparticle Photoresists

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

The Effect of Electrostatic Surface Charges on Photoresist Dissolution

Lecture 3: Optical Properties of Insulators, Semiconductors, and Metals. 5 nm

Supplementary Information

Einstein s Approach to Planck s Law

C. Show your answer in part B agrees with your answer in part A in the limit that the constant c 0.

Chapter 2 Chemistry of High-Energy Charged Particles: Radiations and Polymers

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

KATIHAL FİZİĞİ MNT-510

Study of Shot Noise in EUV Resists through EUV. and E-Beam Comparative LER Analysis

PHYSICS OF THE SPACE ENVIRONMENT

CHARGE CARRIERS PHOTOGENERATION. Maddalena Binda Organic Electronics: principles, devices and applications Milano, November 23-27th, 2015

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

PRESENTED BY: PROF. S. Y. MENSAH F.A.A.S; F.G.A.A.S UNIVERSITY OF CAPE COAST, GHANA.

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

Atomic Physics 3 ASTR 2110 Sarazin

Lecture 1 - Electrons, Photons and Phonons. September 4, 2002

Chapter 13. Phys 322 Lecture 34. Modern optics

Chemical Reactions Induced by Ionizing and Electron-beam Irradiation in Freon/Water (Ice) Films

CHM Physical Chemistry II Chapter 12 - Supplementary Material. 1. Einstein A and B coefficients

PHOTO-DISSOCIATION OF CO 2 GAS BY USING TWO LASERS

Vibronic Coupling in Quantum Wires: Applications to Polydiacetylene

Outline. Radiation Interactions. Spurs, Blobs and Short Tracks. Introduction. Radiation Interactions 1

I. 16. Coloration of Polyethylene Terephthalate (PET) Film by 3MeV Proton Beams

Bolometry. H. Kroegler Assciazione Euratom-ENEA sulla Fusione, Frascati (Italy)

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

LASER. Light Amplification by Stimulated Emission of Radiation

Modeling plasma-based CO 2 conversion: From chemistry to plasma design

Lawrence Berkeley National Laboratory Lawrence Berkeley National Laboratory

Vibrational Spectroscopy of Molecules on Surfaces

Radiation Safety Training Session 1: Radiation Protection Fundamentals and Biological Effects

X-Ray transitions to low lying empty states

Luminescence Process

Comprehensive model of electron energy deposition*

Molecular energy levels and spectroscopy

arxiv: v1 [physics.ins-det] 6 Nov 2007

THREE MAIN LIGHT MATTER INTERRACTION

Positronium Formation at Low Temperatures in Polymers and Other Molecular Solids

CHAPTER A2 LASER DESORPTION IONIZATION AND MALDI

Nuclear Physics and Astrophysics

Generic Detector. Layers of Detector Systems around Collision Point

INTRODUCTION Radiation differs from conduction and convection in that it does not require the presence of a material medium to take place.

Paper Review. Special Topics in Optical Engineering II (15/1) Minkyu Kim. IEEE Journal of Quantum Electronics, Feb 1985

CHARGED PARTICLE INTERACTIONS

Chapter 4 Scintillation Detectors

EUV Lithography Towards Industrialization

Polymer Chemistry Prof. Dibakar Dhara Department of Chemistry Indian Institute of Technology, Kharagpur

Advanced Heat and Mass Transfer by Amir Faghri, Yuwen Zhang, and John R. Howell

Spectroscopic Studies of Soft X-Ray Emission from Gadolinium Plasmas

Supplementary Figure S1. The detailed procedure for TEM imaging of graphene torn edge. (a) TEM image of a graphene torn edge before the tear

Nanoscale Energy Transport and Conversion A Parallel Treatment of Electrons, Molecules, Phonons, and Photons

Seminar talks. Overall description of CLAS12 (Jefferson Lab) MAPS. Talks on Feb. 6 th, (Contact JR) (Contact TS)

Secondary Ion Mass Spectrometry (SIMS)

A Parameter Extraction Framework for DUV Lithography Simulation

.O. Demokritov niversität Münster, Germany

Energy Transfer from N 2 (W) tocln 3 and a Kinetic Model for the Chain Decomposition of Chlorine Azide

Inorganic Scintillators

Review of Optical Properties of Materials

Last Lecture. Overview and Introduction. 1. Basic optics and spectroscopy. 2. Lasers. 3. Ultrafast lasers and nonlinear optics

Vacuum-Ultraviolet-Excited and CH 2 Cl 2 /H 2 O-Amplified Ionization- Coupled Mass Spectrometry for Oxygenated Organics Analysis

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Real-time and in-line Optical monitoring of Functional Nano-Layer Deposition on Flexible Polymeric Substrates

Transcription:

Optimizing RLS Seiichi Tagawa The Institute of Scientific and Industrial Research Osaka University and JST-CREST IEUVI Resist TWG October 2, 2008

Acknowledgement Prof. Takahiro Kozawa Dr. Akinori Kozawa Dr. Hiroki Yamamoto Dr. Kazumasa Okamoto Mr. Ken-ichiro Natsuda Mr. Ryo Hirose Staffs and students in our Lab. Many co-workers in companies and research consortia Saport form JST-CREST, Grant-in-Aid for Scientific Research, Selete, ASET, and Companies

RLS Trade Off: The Most Crucial Issue in EUV Resist Development Sensitivity Many papers have been reported on RLS by a lot of researchers such as R.Brainard, T.Wallow, P.Naulleau, G.Gallatin, et al. Experiments R.Brainard et al. SPIE(2004) P.Naulleau et al. SPIE(2006) Resolution Simulations G.M.Gallatin,SPIE(2005) LWR

Energy (ev) How to optimize RLS: Fundametal research is essential. 1000 100 10 22 nm node LWR <1.2nm Ionization Potential of Resist Materials (~10eV) Shot noise Sensitivity Acid diffusion Resolution 1 g line i line KrF ArF EUV EB Exposure light (tool) LWR Acid diffusion Huge amounts of knowledge infrastructure based on photochemstry are available for photoresists. Solutions! One of the best solution is the increase in pattern formation efficiency New knowledge infrastructure based on radiation chemistry is essential for EUV resists.

Resist pattern formation processes Exposure Proton Anion Position in y-direction (nm) Interaction of radiation (photon, electron etc.) with materials Accumulated energy profile Dependent on exposure sources 50 40 30 20 10 0-10 -20-30 -40-50 -40-30-20-10 0 10 20 30 40 Position in x-direction (nm) Proton-anion distribution Conversion of energy to acids Decomposition of acid generators Latent acid image Acid diffusion Deprotection reaction Acid catalyzed image (Latent image after PEB) Independent of exposure sources Development

Resolution LER Exposure source dependent Exposure source independent Sensitivity The increase in pattern formation efficiency is required to simultaneously meet the requirements for RLS. Pattern formation efficiency = Absorption efficiency of incident energy (mainly absorption coefficient of polymer) Quantum yield of acid Efficiency of catalytic chain reaction X X Limited by side wall degradation Limited by secondary electron emission efficiency Limited by diffusion-controlled rate for chemical reaction Other factors:initial distribution of acid in nanospace and development etc..

Important point of diffusion length 2Dt and pattern size It has been believed that a resist with an acid diffusion length of, for example, 15 nm cannot resolve 22 nm patterns. However, this is not true in the presence of quenchers. The resolution does not necessarily depend on the acid diffusion length. Pattern sizes are determined by a initial distribution of acids and quenchers, and ratio between the acid and quencher diffusion constants. (Jpn. J. Appl. Phys. 47 (2008) 4465, 4926, 5404) The concept of recent quencher controlled CAR is different from classical CAR. Acid diffusion and chemical reaction Acid diffusion and reaction u Base quencher diffusion and reaction u Deprotection reaction, especially rate constant [ P] acid t quencher t = = 0 ( Dacid uacid ) kuaciduquencher = k ( D ) quencher uquencher kuaciduquencher depro u acid [ P] dt

Concentration of protected unit (molecule nm -3 ) Optimization of quencher concentration for each α It has been reported that quenchers affect RLS. However the details are still unclear. Concentration of protected unit (molecule nm -3 ) 2.0 1.5 1.0 0.5 0.0 2.0 =10s 1.5 =20s 1.0 0.5 0.0 0 5 10 15 20 =3s =10s =30s Distance (nm) (a) α = 0.1 0 5 10 15 20 Distance (nm) (c) α = 10 81% 1.5 =10s 69% =30s 1.0 =20s =30s =50s =90s 0.5 =90s 0.0 =50s =90s 53% =180s α = Concentration of protected unit (molecule nm -3 ) D acid 2.0 quencher D 0 5 10 15 20 Distance (nm) (b) α = 1 Fig. Temporal changes of latent images during PEB. The exposure dose is 20 mj cm -2. The quencher concentrations are (a) 81%, (b) 69%, and (c) 53% of initial acid concentration at the origin. The open circles represent the same deprotection profile as the one at = 90 s in Fig. (b). Conclusion: the same order of diffusion costants for acids and quenchers are preferable for 22 nm fabrication Jpn. J. Appl. Phys. 47 (2008) 5404.

2.0 1.5 1.0 0.5 0.0 2.0 1.5 1.0 0.5 0.0 Limit of PHS-based chemically amplified resist Latent images of 22 nm line & space Jpn. J. Appl. Phys. 47 (2008) 4465. Concentration of protected unit (molecules nm -3 ) 0 5 10 15 20 0 5 10 15 20 Distance (nm) 2.0 1.5 1.0 0.5 20 mj cm -2 10 mj cm -2 0.0 2.0 1.5 1.0 0 5 10 15 20 0.5 5 mj cm -2 2 mj cm -2 0.0 0 5 10 15 20 Distance (nm) Intermediate region where protected and deprotected units coexist The width expands with 1/ dose dependence.

Conclusion of the increase in pattern formation by opimizing the catalytic chain reactions When only the catylitic chain reactions are controled, 22 nm fabrication is achievable with 10 mj cm-2 exposure dose and a high quality image is unlikely to be obtained with 5 mj cm -2 exposure dose without some special consideration of the catalytic chain reactions and increases in acid generation efficiency and polymer absorption. Some special consideration such as anisotropic acid diffusion (Gallatin, Naulleau, Brainard SPIE(2007) ) and nonconstant diffusion coefficient. (T. Kozawa et al., J. Photopoly.Sci.Tech., 21(2008)421)

Resolution LER Exposure source dependent Exposure source independent Sensitivity The increase in pattern formation efficiency is required to simultaneously meet the requirements for RLS. Pattern formation efficiency = Absorption efficiency of incident energy (mainly absorption coefficient of polymer) Quantum yield of acid Efficiency of catalytic chain reaction X X Limited by side wall degradation Limited by secondary electron emission efficiency Limited by diffusion-controlled rate for chemical reaction Other factors:initial distribution of acid in nanospace and development etc..

Acid Generation of Chemically Amplified EUV Resists EUV Main reactions start from ionizatin of polymer. Difference between ionization and acid generation points polymer Ionization and excitation Thermalization Protonation and Proton H + migration H + AX acid Dissociative electron Absorption efficiency of incident radiation: attachment absorption coefficient, ultra thin film(multi-layer resist) Quantum yield of acid: concentration of acid generator, dielectric constants, acid amplification, proton formation and transport, counter anion generation efficiency, etc. (Many papers by us) Nanospace control of acid generation: reaction of epithermal electrons (K. Natsuda et al. J.J.Appl.Phys., 47(2008)4932) X - Geminate recombination(0)

P. Dentinger et al., SPIE 3997 (2000) 588.

Intensity of EUV (I) I = αi z Absorption coefficient ( ) PHS : 3.8 µm -1 Interaction of EUV photon with CARs -spatial distribution- EUV photon photon Electron > IP Electron < IP z Inelastic mean free path <1 nm mean free path at electron with energy > IP Ionization + + Thermalization Ionization + * + Excitation Ionization Resist Thermalization Thermalization Length 4.0 nm for PHS The number of secondary electrons is estimated expermentally. 4.2 for PHS PHS with 10 wt% TPS-tf Acid molecules per photon: 2.6 (Kozawa et al. J.Vac.Sci. Tecnnol.,B25(2007) 2481) Experimental value: 2.5 (Hirose et al.,jap.j.appl.phys,part 2(2007)

Difference between EB and EUV EB EUV Multi spur effect + Isolated pairs (Single spur) + + + + + Onsager distance Where the thermal energy of electron correspond to the Coulomb potential. 2 e r c ε kt = 14 nm in PHS Stronger electric field (Kozawa et al., J.Appl.Phys, 103(2008)84306)

Electron dynamics in early processes of radiation chemistry Ionization Electron with excess energy + - Parent Radical Cation Excitation of intramolecular vibration Subexcitational Subvibrational Energy exchange, excitation of intermolecular vibration, phonon Geminate Ion Pair Thermalization Coulomb Force - Diffusion Low Energy Electron ~ 0 ev Modified Smoluchowski equation for CAR w Dt = w + 1 w k T B V 4πRCw w : Probability density of electrons k B : Boltzmann constant V : Coulomb potential(dielectric constant) T : Absolute temperature D : Sum of diffusion coefficient C : concentration of acid generators R : effective reaction radius Acid generator can react with these low energy electrons. Initial distribution function 1 r f ( r, r = 0 ) exp r0 r0 r : Distance between radical cation and electron r 0 : Thermalization distance

Difference in sensitization distance between EUV and EB Probability density of anion (/nm) 0.12 0.10 0.08 0.06 0.04 0.02 0.00 (a) EB 0 10 20 30 Probability density of anion (/nm) 0.40 0.30 0.20 0.10 0.00 (b) EUV 0 10 20 30 Distance from ionization point (nm) Distance from EUV absorption point (nm) Fig. Probability density of anion generated in PHS with 10 wt% TPS-tf by (a) an electron and (b) an EUV photon. Sensitization distance (ionization) 5.6 nm 6.3 nm Acid generation efficiency (ionization) 0.74 per ionization 0.62 per ionization G(acid) = 3.3 (3.3 acids per 100 ev) Kozawa et al. J.Vac.Sci.Tecnnol. B24,3055(2006) 2.6 acids per one photon(92.5 ev) in PHS Kozawa and Tagawa, J.Vac.Sci.Tecnnol.,B25(2007) 2481 Experimental value: 2.5 Hirose et al., Jap.J.Appl. Phys, Part 2 Let. & Express Let.,46,L979(2007)

Feasibility on High-Sensitivity Chemically Amplified Resist by Polymer Absorption Enhancement (Appl. Phys. Express 1(2008)47001, 67012) Current status Sensitivity 10~20 mj cm -2 X 1/4 Sensitivity <5 mj cm -2 Abs. coefficient 3.8 µm -1 (PHS) Thickness 50 nm (40-75 nm) Transparency 83% Abs. coefficient 16 µm -1 Thickness 20 nm Transparency 73% Acid concentration (nm -3 ) 0.10 0.08 0.06 0.04 0.02 0.00 0 500 1000 1500 2000 Depth from resist surface (nm) Depth profile Fig. Depth profile of acid concentration (The number of acid molecules per unit volume). The exposure dose is 10 mj cm -2. side wall degradation

Reinvestigation is necessary for the relationship between absorption coefficient and side wall angle because of the difference in the sensitization mechanisms of DUV and EUV resists. We studied the feasibility of high absorption resist process using a simulation from the viewpoint of side wall degradation Acid distribution is determined by absorption coefficient. Acid diffusion Moderation through acid diffusion Restriction in acid diffusion + Effect of secondary electrons Electron emission from surface EUV photon Electron emission depends on work function of materials, W f. Ionization Total-reflection model W f = Resist Total-transmission model W f = 0

22 nm L&S image formed in high absorption resist upon 5 mj cm -2 exposure Initial acid image Latent image 0.18 1.8 A B 0 22 nm (a) Total-reflection model 20 nm 0 Side wall angle 84.5 A B Side wall angle 89.4 (b) Total-transmission model Two-dimensional initial acid distribution (A) and latent image (B) of 22 nm line-and-space patterns, calculated using a = 16 µm -1 with (a) total reflection and (b) total transmission models. The film thickness is 20 nm. The exposure dose is 5 mj cm -2. The quencher concentration is optimized to be 55% of the initial average acid yield in an area with the peak EUV intensity. Conclusion: Compared with PHS-based resists, the fourfold enhancement of polymer absorption is feasible without side wall degradation partly due to the long migration range of secondary electrons, although it is necessary to reduce the resist thickness from 50 to 20 nm.

Conclusion To optimize RLS, the improvement of pattern formation efficiency is essential. The efficiency of pattern formation is mainly determined by three factors such as the absorption efficiency of incident radiation, quantum yield of acid, and efficiency of the catalytic chain reactions. When only the catalytic chain reactions are controled, 22 nm fabrication is achievable with 10 mj cm-2 exposure dose and a high quality image is unlikely to be obtained with 5 mj cm-2 exposure dose without some special consideration of the catalytic chain reactions and increases in acid generation efficiency and polymer absorption. Compared with PHS-based resists, the fourfold enhancement of polymer absorption is feasible without side wall degradation partly due to the long migration range of secondary electrons, although it is necessary to reduce the resist thickness from 50 to 20 nm. How to increase the quantum yield of acid is tightly connected with EUV resist reactions and we have published many papers in this field. The most effective method in this part is increasing in acid generator concentration, but we didn t talk about it because of time limitation. Resist makers started the improvement of EUV resists based on above concept. The good improvement on RLS has been achieved recently. The fundamental concept of optimizing RLS is made clear, however, there are many remaining problems to optimize RLS.