Impact of Pellicle on Overlay in Double Patterning Lithography

Similar documents
Registration Measurement Capability of VISTEC LMS IPRO4 with Focus on Small Features

The study for image placement repeatability of EUV mask on the flat chuck

Mask Characterization for Double Patterning Lithography

Critical Dimension Uniformity using Reticle Inspection Tool

Reticle intensity based Critical Dimension Uniformity to improve efficiency for DOMA correction in a foundry

Actual Measurement Data Obtained On New 65nm Generation Mask Metrology Tool Set

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Cost of Ownership Considerations for Maskless Lithography

Use of Layout Automation and Design Based Metrology for Defect Test Mask Design and Verification

Double patterning for 32nm and below: an update. ASML US Inc, 4211 Burton Dr. Santa Clara, CA, USA. IMEC vzw, Kapeldreef 75, B-3001 Heverlee, Belgium;

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Cost Implications of EUV Lithography Technology Decisions

IEUVI Mask Technical Working Group

A Reticle Correction Technique to Minimize Lens Distortion Effects

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

Fast Non-destructive Optical Measurements of Critical Dimension Uniformity and Linearity on AEI and ASI Phase-shift Masks

Litho scenario solutions for FinFET SRAM 22nm node

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

EUV Lithography Towards Industrialization

Hyper-NA imaging of 45nm node random CH layouts using inverse lithography

Improved Method for Measuring and Assessing Reticle Pinhole Defects for the 100nm Lithography Node

After Development Inspection (ADI) Studies of Photo Resist Defectivity of an Advanced Memory Device

Optimized Stepping for Fan-out Wafer and Panel Packaging P.10

Mat. Res. Soc. Symp. Proc. Vol Materials Research Society

Towards 3nm overlay and critical dimension uniformity: an integrated error budget for double patterning lithography

Characterization of Optical Proximity Correction Features

Single Pass Die to Database Tritone Reticle Inspection Capability

Chromeless Phase Lithography (CPL)

EUVL Readiness for High Volume Manufacturing

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Story. Cover. An Automated Method for Overlay Sample Plan Optimization

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

EUV lithography industrialization for HVM

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

High Optical Density Photomasks For Large Exposure Applications

Sensors and Metrology. Outline

ASML Approach to Euv Reticle Handling

Actinic review of EUV masks: First results from the AIMS EUV system integration

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

1 INTRODUCTION 2 SAMPLE PREPARATIONS

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

INTERNATIONAL TECHNOLOGY ROADMAP SEMICONDUCTORS 2001 EDITION METROLOGY FOR

EUV Lithography Status and Key Challenges for HVM Implementation

Opto-Mechanical I/F for ANSYS

Achieving Low Wavefront Specifications for DUV Lithography; Impact of Residual Stress in HPFS Fused Silica

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Figure measurement of a large optical flat with a Fizeau interferometer and stitching technique

Performance and Variability Driven Guidelines for BEOL Layout Decomposition with LELE Double Patterning

The Waferstepper Challenge: Innovation and Reliability despite Complexity

Registration Error Terms: Grid: Wafer Terms and Field IFD

High Order Overlay Modeling and APC simulation with Zernike- Legendre Polynomials

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

AUV5500: Advanced in-situ dry cleaning and metrology process for next generation lithography

NSR-2205i14E (6" Reticle Type)

NANO-CMOS DESIGN FOR MANUFACTURABILILTY

Fabrication of EUVL Micro-field Exposure Tools with 0.5 NA

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Figure testing of 300 mm Zerodur mirrors at cryogenic temperatures

(12) United States Patent (10) Patent No.: US 6,730,444 B2. BOWes (45) Date of Patent: May 4, 2004

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Photolithography II ( Part 1 )

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Optical Interface for MSC.Nastran

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

EUVL for HVM: Progress Update

Interactions of 3D mask effects and NA in EUV lithography

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

Analysis of carbon contamination on EUV mask using CSM/ ICS

T h e Y i e l d M a n a g e m e n t C o m p a n y Electrostatic Compatibility in Photolithography An OEM perspective

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Design Study. Carl Zeiss Microelectronic Systems GmbH Enabling the Nano-Age World

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Overview of EUV Lithography and EUV Optics Contamination

Development status of back-end process for UV-NIL template fabrication

The MEEF Shall Inherit the Earth

Validation of High Displacement Piezoelectric Actuator Finite Element Models

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Excerpt from the Proceedings of the COMSOL Conference 2010 Boston

Accurate and Reliable Optical CD of MuGFET down to 10nm

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2014 C. Nguyen PROBLEM SET #4

MOY/SCMI/36 SPECIFICATION OF ACCURACY FOR A PRECISION CLINOMETER

Influence of mask surface processing on CD-SEM imaging. Phone: FAX:

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Nanoimprint Lithography

GCA AS200 Job Preparation

Current development status of Shin-Etsu EUV pellicle

Hybrid Wafer Level Bonding for 3D IC

Transcription:

Impact of Pellicle on Overlay in Double Patterning Lithography Oliver Loeffler 1, Frank Laske 2, Michael Ferber 2, Klaus-Dieter Roeth 2, Lin Chua 3, You Seung Jin 3, Gino Marcuccilli 3, Venkat Nagaswami 3, 1 AMTC Advanced Mask Technology Center GmbH, Rahnitzer Allee, Dresden, Germany 2 KLA-Tencor Corporation, Kubacher Weg 4, Weilburg, Germany 3 KLA-Tencor Corporation, One Technology Drive, Milpitas, CA 95035, USA ABSTRACT Reticle Pattern Placement Error (PPE) has been identified as one of the key challenges of Double- Patterning Lithography (DPL) as the overlay of the circuit patterns between two masks is a critical achievement for successful implementation of the process. According to the 2009 ITRS roadmap, doublepatterning lithography is expected to extend 193nm immersion lithography to the 23nm node by 2016 and the corresponding PPE requirements is 1.9nm. PPE between the two masks comprising a DPL mask pair affects the resulting critical dimensions of the circuit pattern and the final device performance. In this paper, we study how the reticle PPE can be affected by the pellicle. The pellicle can induce a mechanical stress on the reticle such that the actual placement of the circuit patterns on wafer will be distorted after the lithography process. This distortion effect is known as Pellicle-Induced Distortion (PID). We conducted experiments by using different combinations of pellicle frames and frame adhesives on a DPL mask pair to study how reticle PPE can change with each combination. We used the KLA-Tencor LMS IPRO4 mask registration metrology tool to measure the PPE before and after the mount/un-mount of each experiment combination. The analysis is done using the KLA-Tencor DEVA software to quantify how the pellicle can affect the individual reticle PPE and also the relative errors between the DPL mask pair. Keywords: Pellicle-induced distortions, double-patterning lithography, pattern-placement errors. 1. INTRODUCTION As the industry approaches the low k1 limit in production using 193nm single exposure immersion lithography at the 32nm HP node, there is a need to extend the capabilities of 193nm immersion lithography for a more robust production process. According to the 2009 ITRS roadmap, DPL is proposed as the most viable solution for high-volume manufacturing at the 32nm node and 23nm node in 2013 and 2016 respectively. The key enabler for successful DPL implementation is successful overlay between the two masks needed to manufacture the DPL layer. This is especially critical in the device pattern area where any overlay error between the two mask layers effectively results in a critical dimension error that ultimately affects the device performance. Metrology, Inspection, and Process Control for Microlithography XXV, edited by Christopher J. Raymond, Proc. of SPIE Vol. 7971, 79712D 2011 SPIE CCC code: 0277-786X/11/$18 doi: 10.1117/12.879905 Proc. of SPIE Vol. 7971 79712D-1

Year of Production 2013 2016 DRAM/ MPU/ ASIC (M1) ½ pitch (nm) (contacted) 32 23 Flash ½ pitch (nm) (un-contacted poly) 23 16 MPU/ASIC Metal 1 (M1) ½ Pitch (nm)(contacted) 27 19 Overlay (3 sigma) (nm) 6,4 4,5 Generic Mask Requirements Mask magnification [B] 4 4 Mask nominal image size (nm) [C] 112 79 Image placement (nm, multipoint) [F] 3.8 2.7 Image placement (nm, multipoint) for double patterning of dependent layers [V] 2.7 1.9 Table 1 Excerpts from 2009 ITRS roadmap with the required image placement error for double patterning lithography for the 32nm and 23nm technology nodes One of the sources of overlay error between DPL mask layers is distortion of the mask pattern itself on the DPL mask pair. The error on the mask pattern typically originates from two main areas, 1) Errors associated with the mask writer, and 2) Pellicle-induced distortion. The overall error as required in the 2009 ITRS roadmap is 2.7nm for the 32nm node and 1.9nm for the 23nm node. In this paper, we study the effects of pellicle-induced distortion and its effect on the relative overlay error within a DPL mask pair. An optical mask has a pellicle that is mounted onto a pellicle frame and then glued to the mask with special adhesive. The pellicle protects the mask patterns from foreign contaminations and particles that can interfere with the lithography process. a) Mass blank b) Mask pattern c) Pellicle mounting d) Pellicle stress Figure 1 - Simplified mask manufacturing process showing how stresses can be induced by the pellicle Due to the different material properties between the pellicle frame, adhesive and the mask, attaching the pellicle can induce a mechanical stress on the reticle that could result in out-of-plane reticle distortions. This distortion effectively changes the actual position of the device patterns relative to the mask fiducials used for scanner alignment. In a DPL mask pair, this effect is aggravated because the relative distortion between the two mask in the pair is critical for successful implementation of a DPL process. Thus, the pellicle-induced distortions for the individual masks have to be combined and studied together to get the net effect of the DPL mask pair on wafer overlay error. Pellicle induced distortion has been studied by several researchers in the past. At reticle level, 3 sigma errors of 2.14 nm in X and 1.72 nm in Y [1], 3.7 nm in X and 4.8 nm in Y after isotropic correction [2], 3 sigma errors of 3.2 nm in X and 2.4 nm in Y [3] have been reported. Proc. of SPIE Vol. 7971 79712D-2

2. EXPERIMENT A DPL mask pair patterned with an array of 11x14 IPRO registration marks over a field size of approximately 24x30mm, is used to measure how the PPE is affected by three different combinations of pellicle frames and adhesive types (See Figure 2). This registration mark can be used for both mask registration metrology on the IPRO and wafer overlay metrology on KLA-Tencor s Archer tool. We measure the pattern registration error of each mask before and after mounting each pellicle frame/adhesive combination. This allows the effect of the pellicle induced distortion to be studied, as well as the analysis of any residual effects after removing the pellicle frame. Figure 2 - Reticle layout with array of 11x14 IPRO registration marks (left) and the design of each IPRO/Archer registration mark (right) Post-Pellicle Measurement Pellicle Mount Effect of pellicle on mask registration Pellicle Un-mount Pre-Pellicle Measurement Figure 3 Measurements done after the mounting and un-mounting of each pellicle. A total of three pellicle/frame combinations are studied Proc. of SPIE Vol. 7971 79712D-3

Each pellicle frame/adhesive type combination was chosen based on mechanical specifications given by the pellicle suppliers. There were differences in frame height, adhesive height, Young s modulus, Poisson Ratio and density of the frames and adhesives. The IPRO4 mask registration measurement was done in four orientations of 0 o, 90 o, 180 o and 270 o in order to provide an averaged result that eliminates the bending effect of the reticle on the measurement chuck with the pellicle mounted. A bending model to remove the effect of the pellicle is currently being developed for the new LMS IPRO5 tool. We used the KLA-Tencor DEVA analysis software to study the PPE data. The DEVA software enables raw data analysis and application of different components of correction including first-order translation, rotation, scaling and high-order terms. All data are averaged over the four measured orientations. Comparison of measured registration data is done with respect to the reference design as well as between relative masks. We applied full first-order overlay correction and analyzed the residual error in terms of average and maximum 3-sigma. The pellicle effect on mask-to-mask registration is then studied. a. Raw reticle registration error b. Multi-point alignment (translation, rotation) and scaling corrected c. Full first-order corrected Figure 4 Examples of reticle registration error and applied corrections using DEVA analysis software Proc. of SPIE Vol. 7971 79712D-4

3. RESULTS AND ANALYSIS The pellicle s effect on mask registration for each mask is shown in Figure 5, below, for each pellicle combination. We take the difference in absolute mask registration result before and after each pellicle is mounted and removed to obtain the pellicle effect. The pellicle s effect on individual mask registration is then combined to study the net effect for a DPL mask pair, Mask 1 and Mask 2, as shown in the rightmost column (Mask 2 Mask 1). Max 3 sigma error from pellicle mounting Mask 1 Mask 2 Mask 2 - Mask 1 X: 1.12nm X: 1.22nm X: 0.84nm Y: 1.12nm Y: 1.08nm Y: 1.30nm Pellicle-2 Pellicle-1 X: 0.74nm X: 0.80nm X: 0.57nm Y: 0.78nm Y: 0.82nm Y: 0.77nm Pellicle-3 4. SUMMARY X: 1.04nm X: 1.24nm X: 1.03nm Y: 0.75nm Y: 0.62nm Y: 0.83nm Figure 5 Mask registration maps for each mask/pellicle showing the pellicle effect on mask registration for each mask and the DPL mask pair Proc. of SPIE Vol. 7971 79712D-5

We can conclude that different pellicle frame/adhesive combinations can indeed alter the mask registration results. The experimental data shows that up to 1.30nm of mask registration error can be contributed from the pellicle. This is almost 50% of the total pattern placement error budget of 2.7nm at the 32nm node, as shown in the ITRS requirements in Table 1. It is clear from the vector maps that the pellicle tends to induce a dominant signature along the edges of the field. This is reasonable given the position of the pellicle frame. 4. HYSTERESIS FROM PELLICLE MOUNTING AND DE-MOUNTING SHORT TERM EFFECT We proceed to verify that consecutive pellicle mounting and removal did not induce any permanent registration error (hysteresis). We compared the mask registration results before and after each pellicle mounting process for Pellicle-1 and Pellicle-2. The registration error is very small and within the measurement uncertainty of the IPRO4. The registration vector map also did not show any obvious error signature. This result confirms that the removal of the pellicle will relax the stresses in the mask and that these stresses are not permanent. 0.7 0.6 Max 3 sigma (nm) 0.5 0.4 0.3 0.2 0.1 Mask 1 0 X Y X Y M ask 1 M ask 2 Pellicle-1 Pellicle-2 Mask 2 Figure 6 Residual mask registration error after Pellicle 1 and Pellicle 2 removal (left) and sample registration vector map for Mask 1 and Mask 2 showing error within measurement uncertainty after Pellicle 1 removal LONG TERM EFFECT We verified the long term effect of pellicle mounting and un-mounting by comparing the registration data observed when the DPL reticles were made (approximately two years earlier) with current data. The data were obtained on two LMS IPRO4 systems, one in the US where the reticle was made and one from Europe where the current measurements are taken. Fig 7 shows the registration performance summary of the reticle when measured the first time, two years ago, and the new registration data after pellicle mounting and demounting. Fig 8 shows the registration error distribution over the reticle. It is obvious that the mask registration did not change during the two years due to pellicle induced forces. Proc. of SPIE Vol. 7971 79712D-6

New Old (2 years earlier) X (nm) Y(nm) X (nm) Y(nm) Mean 0.00 0.00 0.00 0.00 3 sigma 6.42 5.91 6.55 5.76 Max 5.34 5.71 5.48 5.41 Min -5.33-6.10-5.36-6.14 Figure 7 Comparison between the new and the old data indicating no long-term PPE effects due to pellicle mounting and de-mounting Figure 8 Comparison between the new and the old data indicating no long-term PPE effects in the vector plot due to pellicle mounting and de-mounting 5. SUMMARY The reticle pattern placement error (PPE) is expected to be a key challenge in Advanced Lithography, especially Double Patterning Lithography (DPL), and the overlay budget is expected to be very stringent. The pellicle induced Pattern Placement Error is studied using three different suppliers of the pellicle, frame and glue and the same DPL reticle set. After the removal of each pellicle frame, before re-attaching the new pellicle the image placement was studied and our data indicates that the pellicle attachment does not create any permanent displacement of patterns as shown in Fig 6. This indicates that there is no short term hysteresis effect on image placement due to pellicle attachment. Surprisingly our data also indicates that over a period of 2 years and after repeated mounting and de-mounting of pellicles, the image placement error did not change, indicating no long term pattern placement error changes from repeated pellicle mounting. On the other hand, a comparison of the data prior to pellicle attachment and post attachment do indicate shifts in the image placement error that will contribute to the wafer overlay error budget. The results are summarized in the table in Fig 9. If the pellicle mounting process is very stable and repeatable as our Proc. of SPIE Vol. 7971 79712D-7

results indicate, the residual mask to mask overlay can be significantly smaller than the previously reported error.. X (nm) Y (nm) Supplier 1 0.84 1.30 Supplier 2 0.57 0.77 Supplier 3 1.03 0.83 Figure 9: Mask to mask overlay error (3 sigma) with 3 different pellicle suppliers (on reticle level). Our work demonstrates that today the pellicle induced overlay error contribution can be well controlled, within less than 1.5nm at the reticle level. Besides a carefully controlled and repeatable pellicle mounting process, the pellicle suppliers may have made significant progress in the design and material of the pellicle frames as well as adhesives used for gluing the frame to the reticles. 6. ACKNOWLEDGEMENTS The authors would like to acknowledge Jochen Bender of the MIE Division of KLA-Tencor for overall review and recommendations for many changes for the improvement of the quality of the paper. The authors would like to thank the German BMBF for funding project CDuR32 to support R&D of the next-generation reticle pattern placement metrology system. 7. REFERENCES 1. Robert de Kruif, Tasja van Rhee, Eddy van der Heijden, Reduced Pellicle impact on Overlay using High Order Intrafield Grid Corrections, Proceedings of the SPIE, Vol. 7470, pp. 1-11 (2009) 2. I. Servin, C. Lapeyre, S. Barnola, B. Connolly, R. Ploss, K. Nakagawa, P. Buck, M. McMCallum, Mask contribution on CD & OVL errors budgets for Double Patterning Lithography, Proceedings of the SPIE, Vol. 7470, pp-747009 (2009) 3. C. Lapeyre, S. Barnola, I. Servin, S. Gaugiran, S. Tedesco, L. Pain, A.J. Hazelton, V. Salvetat, M. McCallum, Nikon Lithovision 2009 Proc. of SPIE Vol. 7971 79712D-8