Nanocarbon Interconnects - From 1D to 3D

Similar documents
Carbon Nanotubes for Interconnect Applications Franz Kreupl, Andrew P. Graham, Maik Liebau, Georg S. Duesberg, Robert Seidel, Eugen Unger

Carbon nanotubes on graphene: Electrical and interfacial properties

Carbon Nanotubes in Interconnect Applications

Graphene devices and integration: A primer on challenges

Are Carbon Nanotubes the Future of VLSI Interconnections? Kaustav Banerjee and Navin Srivastava University of California, Santa Barbara

Nanocarbon Technology for Development of Innovative Devices

There's Plenty of Room at the Bottom

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

Modeling and Performance analysis of Metallic CNT Interconnects for VLSI Applications

What are Carbon Nanotubes? What are they good for? Why are we interested in them?

Recap (so far) Low-Dimensional & Boundary Effects

TCAD Modeling of Stress Impact on Performance and Reliability

New Material Design and Device Simulation Tool. Dr. Gong Kui HZWTECH

Research Challenges and Opportunities. in 3D Integrated Circuits. Jan 30, 2009

Graphene for future VLSI

Temperature dependence of carbon nanofiber resistance [Nanotechnology 21, (2010)]

Elementary Process of Electromigration at Metallic Nanojunctions in the Ballistic Regime

Thermal Conductivity of Carbon Nanotube Composite Films

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Alternative deposition solution for cost reduction of TSV integration

Manufacture of Nanostructures for Power Electronics Applications

ECE520 VLSI Design. Lecture 8: Interconnect Manufacturing and Modeling. Payman Zarkesh-Ha

Carbon Nanomaterials: Nanotubes and Nanobuds and Graphene towards new products 2030

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1

Graphene Novel Material for Nanoelectronics

EE410 vs. Advanced CMOS Structures

nmos IC Design Report Module: EEE 112

Thermal-reliable 3D Clock-tree Synthesis Considering Nonlinear Electrical-thermal-coupled TSV Model

Chapter 12: Electrical Properties. RA l

Film Deposition Part 1

Hybrid Wafer Level Bonding for 3D IC

Plasma Deposition (Overview) Lecture 1

Supporting Online Material for

Intrinsic Electronic Transport Properties of High. Information

Performance Analysis of Multilayer Graphene Nano Ribbon as on chip Interconnect.

EECS240 Spring Today s Lecture. Lecture 2: CMOS Technology and Passive Devices. Lingkai Kong EECS. EE240 CMOS Technology

IC Fabrication Technology

Fabrication Technology, Part I

EE143 LAB. Professor N Cheung, U.C. Berkeley

Lecture 15: Scaling & Economics

Exceptional ballistic transport in epigraphene. Walt de Heer Georgia Institute of Technology

Metallic: 2n 1. +n 2. =3q Armchair structure always metallic = 2

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

3/10/2013. Lecture #1. How small is Nano? (A movie) What is Nanotechnology? What is Nanoelectronics? What are Emerging Devices?

Nanostrukturphysik (Nanostructure Physics)

SWCNT Based Interconnect Modeling Using Verilog-AMS

Wafer-scale fabrication of graphene

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

EE 292L : Nanomanufacturing. Week 5: Advanced Process Technology. Oct

N ano scale l S il ii lco i n B ased N o nvo lat l i atl ie l M em ory r Chungwoo Kim, Ph.D.

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

EV Group. Engineered Substrates for future compound semiconductor devices

CMOS Scaling. Two motivations to scale down. Faster transistors, both digital and analog. To pack more functionality per area. Lower the cost!

TEMPERATURE DEPENDENT ANALYSIS OF MIXED CARBON NANOTUBE BUNDLE AS VLSI INTERCONNECTS MASTER OF TECHNOLOGY IN VLSI DESIGN

EE130: Integrated Circuit Devices

SUPPLEMENTARY INFORMATION

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Effects of Current Spreading on the Performance of GaN-Based Light-Emitting Diodes

Transmission Electron Microscopy for metrology and characterization of semiconductor devices

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

Transport through Andreev Bound States in a Superconductor-Quantum Dot-Graphene System

Taurus-Topography. Topography Modeling for IC Technology

Fabrication at the nanoscale for nanophotonics

M R S Internet Journal of Nitride Semiconductor Research

Graphene The Search For Two Dimensions. Christopher Scott Friedline Arizona State University

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

MOS Transistor Properties Review

Fig The electron mobility for a-si and poly-si TFT.

Reliability of TSV interconnects in 3D-IC

Molecular Electronics For Fun and Profit(?)

Equivalent Circuit Model Extraction for Interconnects in 3D ICs

Auger Electron Spectroscopy Overview

Lecture #39. Transistor Scaling

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

COMPARATIVE ANALYSIS OF CARBON NANOTUBES AS VLSI INTERCONNECTS

Emerging spintronics-based logic technologies

SUPPLEMENTARY INFORMATION

GHZ ELECTRICAL PROPERTIES OF CARBON NANOTUBES ON SILICON DIOXIDE MICRO BRIDGES

SUPPLEMENTARY NOTES Supplementary Note 1: Fabrication of Scanning Thermal Microscopy Probes

ALD-enabled nanopatterning: area-selective ALD by area-activation

Carbon Nanotube Interconnect: Challenges and Solutions for On-Chip Communication in Future Nanoscale ICs

Are Carbon Nanotubes the Future of VLSI Interconnections?

Introduction to Nanotechnology Chapter 5 Carbon Nanostructures Lecture 1

Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches

Carbon nanotubes as vertical interconnects in 3D integrated circuits

Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal

Paper and Cellulosic Materials as Flexible Substrates for 2D Electronic Materials

A Mechanical Model for Erosion in Copper Chemical-Mechanical Polishing

Enhanced performance of microbolometer. using coupled feed horn antenna

Design and Fabrication of Microheaters for Localized Carbon Nanotube Growth

SUPPORTING INFORMATION: Titanium Contacts to Graphene: Process-Induced Variability in Electronic and Thermal Transport

Diameter Optimization for Highest Degree of Ballisticity of Carbon Nanotube Field Effect Transistors I. Khan, O. Morshed and S. M.

Supplementary Information

Lecture 0: Introduction

MODELING RELIABILITY IN COPPER/LOW-K INTERCONNECTS AND VARIABILITY IN CMOS

2D Materials for Gas Sensing

Supplementary Figure S1. AFM images of GraNRs grown with standard growth process. Each of these pictures show GraNRs prepared independently,

Thin Wafer Handling Debonding Mechanisms

Low Power Phase Change Memory via Block Copolymer Self-assembly Technology

Transcription:

Nanocarbon Interconnects - From 1D to 3D Cary Y. Yang Santa Clara University

Outline Introduction CNT as 1D interconnect structure CNT-graphene as all-carbon 3D interconnect Summary

Device Scaling driven by Moore s Law Doubles number of transistors on-chip every two years Enables packing more functions Reduces cost

On-chip Interconnects Intel 14 nm process press release 9/2014

Effect of Scaling on Interconnect Performance Resistivity of Cu surges with downward scaling ITRS 2007

Effect of Scaling on Interconnect Performance RC delay due to local interconnects IMEC, IITC 2016

Effect of Scaling on Interconnect Reliability Current density approaching electromigration limit of Cu interconnects Voids formed causing failure of interconnects SEM picture of an EM-failed Cu via ITRS 2013 M. A. Hussein, J. He, IEEE Trans. Semicon. Manufacturing 18 (2005)

Alternative Interconnect Materials and Structures Conductors with electron mean free path λ either significantly larger or smaller than those in Cu and W Current-carrying capacity significantly higher than Cu and W Cu Barrier Seed Electroplated Cu Inter-level dielectric Silicides (small λ): - Smaller grains than Cu less variation in ρ with scaling, e.g., NiSi x (30 µω-cm), Ag (12 µω-cm) - Current capacity 10 x Cu - Stoichiometry control Nanocarbons (large λ): - Near-ballistic transport - Current capacity 100 x Cu - High contact resistance Cu+ Cu + BS BS Cu Long MFP large λ Small λ Small MFP Interconnect Technologist Clarke et al., VLSI 2014

Alternative Interconnect Materials and Structures Nanocarbons as potential replacements for Cu Electromigration-resistant - Current-carrying capability > 10 7 A/cm 2 Long mean free path - High mobility and near-ballistic transport High thermal conductivity - 3000 W/(K m) vs Cu 400 W/(K m) Contact resistance challenges

Alternative Interconnect Materials and Structures CNT vias/plugs in local interconnects M. H. Van der Veen, et al., IITC 2012

Alternative Interconnect Materials and Structures Graphene interconnects 8-nm-wide intercalated MLG: resistivity of 3.2 μω cm 30-nm-width bilayer interconnects of multilayer graphene and Ni D. Kondo, et al., IITC 2014 T. Ishikura, et al., IITC 2015

Nanocarbon Interconnects: Contact Resistance Challenges CNT-metal contacts Graphene-metal contacts P. Wilhite, et al., SST 29 (2014) Politou, et al., APL 107 (2015)

All-Carbon Interconnects: 1D to 3D CNT as local vertical interconnect Graphene as horizontal interconnect and/or active channel material sp 2 -bonded carbon interconnect F.D. Novaes,et al., ACS Nano (2010) Extension of superior nanocarbon properties to 3D integration sp 2 bonding for high electrical and thermal conductance

Outline Introduction CNT as 1D interconnect structure CNT-graphene as all-carbon 3D interconnect Summary

CNT Vias - Objectives Comprehensive characterization of CNT vias with linewidths approaching those used in current technology nodes Development of technique to extract contact resistance of fabricated CNT vias with linewidths down to 40 nm Assessment of CNT via performance and reliability and comparisons with Cu and W

Via Test Structure Fabrication Modify process to include a-si hard mask for via etching to achieve vertical sidewalls C. Zhou, et al., IEEE EDL 36, 71-73 (2015)

Via Test Structures 200 nm CNT via patterns: layout design Wedge structure to create multiple heights

CNT Growth in Vias Vertically aligned CNTs are grown in vias using Ni catalyst in plasma-enhanced chemical vapor deposition (PECVD) system

Dielectric Filling and Polishing To maintain CNT vertical alignment in vias and to optimize CNT/metal interface at via top contact, void in via filled with Al 2 O 3 Atomic Layer Deposition of Al 2 O 3 using trimethylaluminum and water at a rate of 1 Å/cycle

Via Top Contact Metallization Selective top-contact metallization using electronbeam-induced deposition (EBID) Pt deposited on alternate vias along the wedge for five different via heights

Electrical Characterization Nanoprobing on individual vias to measure resistance

Resistance of CNT Vias vs Via width Lowest resistance obtained for a 60 nm via is 150 Ω. Lowest extrapolated resistance for a 30 nm via is 295 Ω. Statistical log average of R via for 60 nm vias is 1.7 kω with standard deviation between 420 Ω and 7.1 kω. C. Zhou, et al., IEEE EDL 36, 71-73 (2015)

Resistance of 40 nm CNT Vias vs Via Height R via = R m + R C + ρ CNT h /A CNT R m = Underlayer, probes, probe contact contribution R C = Total CNT contact resistance ρ CNT = CNT resistivity A CNT = Total area of CNTs inside via No cap EBID-Pt cap A. Vyas, et al., Nanotechnology 27, 375202 (2016)

CNT Via Reliability Current stress experiment carried out to determine maximum current-carrying capacity J via = 330 MA/cm 2 1mA

Comparisons with Cu and W Via Width Height CNT Growth Temp ( C) CNT Areal Density (#/cm 2 ) Average CNT diameter (nm) R via (kω) This Work 40 nm 80 nm 700 10 12 9 6.1 330 CNT via (Graham 2005) 30 nm 150 nm 700 1.5 10 11 15 7.8 400 CNT via (Katagiri 2011) 70 nm 100 nm 450 5 10 11 10 11 100 Cu (Adelman 2014) 30 nm 130 nm NA NA NA 0.025 2.5 W (Walls 1997) 30 nm 130 nm NA NA NA 0.060 1 J via (MA/cm 2 )

Outline Introduction CNT as 1D interconnect structure CNT-graphene as all-carbon 3D interconnect Summary

CNT/Graphene Test Structure Fabrication Graphene Growth Graphene Transfer onto Oxide CNT Growth on Graphene C Zhou, et al., Nanotechnology 28, 054007 (2016)

CNT/Graphene growth Fe catalyst (a) 2 Å, (b) 3 Å, (c) 5 Å, and (d) 1 nm

CNT-Graphene growth Fe catalyst 1 nm Fe 5 Å Fe 3 Å Fe 2 Å Fe

CNT Characterization Single-Walled: Diameter 1.5 nm Double-Walled: Diameter 4.8 nm Three-Walled: Diameter 6 nm Four-Walled: Diameter 7 nm

CNT Characterization CNT: tip growth mode

CNT/Graphene Characterization CNT-on- graphene: cross-section of CNT observed

CNT-Graphene Interface CNT-on- graphene interface observed; graphene layers probably distorted by CNT growth process

Electrical Characterization ALD oxide filler to strengthen CNT forest Exposure of CNT tips for probe contact Further CNT/Graphene contact resistance extraction in progress C Zhou, et al., Nanotechnology 28, 054007 (2016)

Summary Fabricated CNT vias down to 40 nm wide demonstrated superior reliability and promising performance CNT/Graphene 3D test structure successfully fabricated Graphene remains intact but distorted after Fe sputtering and dewetting CNT/Graphene interface is observed using TEM, suggesting some bonding between CNT walls and graphene surface CNT/Graphene contact resistance extracted from electrical measurements First-principle calculations of CNT-Graphene model structures in progress

Acknowledgements Changjian Zhou Anshul Vyas, Patrick Wilhite, Richie Senegor, Zachary Baron Yihan Chen, Mansun Chan Chai Yang Phillip Wang