Figure 1 below shows the generic process flow of an LELE method of double patterning.

Similar documents
Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

0. Table of contents. Author: Jaap Snijder

Title: ASML PAS 5500 Job Creation Semiconductor & Microsystems Fabrication Laboratory Revision: D Rev Date: 09/20/2012

R I T. Title: GCA Stepper Operations. Semiconductor & Microsystems Fabrication Laboratory Revision: F Rev Date: 08/09/ SCOPE

Microsystems Technology Laboratories i-stepperthursday, October 27, 2005 / site map / contact

Photolithography II ( Part 1 )

Resist material for negative tone development process

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Double patterning for 32nm and below: an update. Jo Finders, Mircea Dusa, Bert Vleeming, Birgitt Hepp, Henry Megens ASML

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

GCA AS200 Job Preparation

High Optical Density Photomasks For Large Exposure Applications


MEASUREMENT: PART II

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

The Impact of EUV on the Semiconductor Supply Chain. Scotten W. Jones President IC Knowledge LLC

Quick Start Guide. The ieq45 GoTo German Equatorial Mount # 8000C

IC Fabrication Technology

EUV Lithography Towards Industrialization

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

University of Colorado Denver Anschutz Medical Campus Online Chemical Inventory System User s Manual

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Carrier Transport by Diffusion

RECON. How to Setup the Telescope to Observe. This guide will show you how to setup your telescope for observing. Written By: Brittany McCrigler

Lecture 14 Advanced Photolithography

Laboratory instruction SENSOR DEVICES

A Guide to Reticle Design on the Autostep 200 Edward Tang 6/4/04

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

Evolution of Optical Lithography towards 22nm and beyond Donis Flagello

CLEA/VIREO PHOTOMETRY OF THE PLEIADES

Photosynthesis. LabQuest OBJECTIVES

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

Visual Test Light Scattering Reticle. Users Guide

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Important challenge for the extension of Spacer DP process

FOCUS 30/FOCUS 35 Field Calibration with Survey Pro Field Software

Determining the Concentration of a Solution: Beer s Law

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Surface Imaging Through Silylation

PREPARATION FOR CHEMISTRY LAB: FLUORIDE IN WATER

CHM Salicylic Acid Properties (r16) 1/11

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Experiment 1: The Same or Not The Same?

Laboratory instruction SENSOR DEVICES

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

USGS Troy WSC Laboratory Inductively Coupled Plasma- NH4Cl Soil Extracts SOP 425 Jordan Road Rev. No. 2.0 Troy, NY Date: 03/16/2012 Page 1 of 7

NSR-2205i14E (6" Reticle Type)

THE CONSERVATION OF ENERGY - PENDULUM -

ICP-OES DETERMINATION OF IRON. Introduction:

Introduction. Concepts Kinetics Order of reaction Reaction rate Colorimetry. Background

Actinic review of EUV masks: First results from the AIMS EUV system integration

10 - Celestron Telescope II: Operation

Characterization of Optical Proximity Correction Features

EE-612: Lecture 22: CMOS Process Steps

Photosynthesis and Respiration. Evaluation copy

Lecture 0: Introduction

Experiment #5: Cauchy s Formula

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Chromeless Phase Lithography (CPL)

Physics 476LW Advanced Physics Laboratory Michelson Interferometer

1. Electrostatic Lab [1]

Optical Proximity Correction

Computational Chemistry Lab Module: Conformational Analysis of Alkanes

Photosynthesis and Respiration

Lab 1 Uniform Motion - Graphing and Analyzing Motion

Determining the Concentration of a Solution: Beer s Law

THE CONSERVATION OF LINEAR MOMENTUM

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Cost of Ownership Considerations for Maskless Lithography

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

A Parameter Extraction Framework for DUV Lithography Simulation

The Phase Change Lab: Freezing and Melting of Water

Micro- and Nano-Technology... for Optics

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Motion on a linear air track

EUVL Readiness for High Volume Manufacturing

ADVANCED IMAGING AND OVERLAY PERFORMANCE OF A DUV STEP & SCAN SYSTEM

Bossung Curves; an old technique with a new twist for sub-90 nm nodes Terrence E. Zavecz TEA Systems

Speed of Light in Air

Lithography. The Crystal Growth and Reticle Degradation Exposé. Reticle Surface Contaminants and Their Relationship to Sub-pellicle Particle Formation

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Predictor Assay Setup Guide on the BMG LABTECH CLARIOstar Microplate Readers

IEUVI Mask Technical Working Group

Chemical Equilibrium: Finding a Constant, Kc

Cost Implications of EUV Lithography Technology Decisions

Experiment 13. Dilutions and Data Handling in a Spreadsheet rev 1/2013

EE 434 Lecture 7. Process Technology

Litho scenario solutions for FinFET SRAM 22nm node

Atomic Spectra HISTORY AND THEORY

Columbia University Astronomy Big Dome Telescope Start up/shut Down

Cross Section and Line Edge Roughness Metrology for EUV Lithography using Critical Dimension Small Angle X-ray X

Determination of the Equivalent Weight and the K a or K b for a Weak Acid or Base

Appendix 2: Disassembling and Assembling the Telescopes and the Celestron Equatorial Mounts

ASML Approach to Euv Reticle Handling

Technical Procedure for Glass Refractive Index Measurement System 3 (GRIM 3)

Transcription:

Multilayer Double Patterning MCEE 505/605 LM&P Rajiv Sejpal (585) 622-8081 rns4256@rit.edu Dept. of Microelectronics Engineering, Rochester Institute of Technology GOAL Due to the delay in next generation lithography (EUV lithography) multilayer patterning is suggested to keep on track with the Moore s law. The goal of this experiment is to perform a simple double patterning process for metal 1 and contact layers to determine the challenges of material stack, minimum resolution, stitching, k1 factor and overlay. INTRODUCTION/THEORY Double Patterning (DP) or Multilayer Patterning (MP) is a process which is used in conjunction with conventional lithographic techniques to increase the feature density. This is achieved by splitting a dense design into two (DP) or more (MP) sparse designs on the mask and then by recombining the desired pattern at wafer using successive lithographic steps [1]. Double patterning can be classified into three basic categories: 1. Litho-Etch-Litho-Etch (LELE) 2. Litho-Freeze-Litho-Etch (LFLE) 3. Self-Aligned Double Patterning (SADP) Figure 1 below shows the generic process flow of an LELE method of double patterning. Figure 1. LELE process flow. The first pattern is exposed on the photoresist using the first lithographic step (step 1). This pattern is then etched on to the Hard mask 1 (step 2). One more layer of photoresist is coated and then exposed with the second pattern. The subsequent pattern is then etched in to Hard mask 2 to obtain the target pattern. This experiment implements a Litho-Etch-Litho (LEL) double patterning process flow as shown below in figure 2 and figure 3. Here the final etch step as indicated in the figure 1 is eliminated for simplicity and time constraints. Figure 2 shows the process for imaging lines which implements a

clear field masks and figure 3 shows the process for imaging trenches implementing a dark field masks on the reticle. Figure 2. L-E-L process flow for lines. Figure 3. L-E-L process flow for trenches.

Random logic design of metal 1 layers and a contact layer as shown below in figure 4. are used as the target pattern, which is split into two designs of higher pitches (sparse density) at the mask. Pattern 1 Pattern 2 Pattern 3 Figure 4. Mask patterns and split designs under investigation. Contacts. These four patterns are repeated for six different half pitches (hp) of 0.3µm, 0.5 µm, 0.75 µm, 1 µm, 1.5 µm and 2 µm respectively to determine the best resolution. PLANNED PROCEDURE: 1. Perform a prolith simulation for stack reflectivity using the stack used in the lab and calculate the theoretical stack reflectivity using the Fresnel equation and draw comparisons. Explain discrepancies. (Use 1000Å for oxide and 10,000Å for PR thickness). 2. Obtain clean 6-inch oxide coated silicon wafers (Wafers already have alignment marks on them).

3. Measure Oxide thickness using the Spectra-Map. (81 points measurement). Note Avg. Thickness and Std. Dev. 4. Coat OiR 620 PR on the wafers using the coat recipe on the SSI track. Measure PR thickness. Enter the Avg. oxide thickness obtained and Refractive index of PR (1.64 for positive photoresist.) 5. Perform an FEM for the dark field mask (Dark 1) using the ASML. Energy Range from 200mJ/cm 2 to 300mJ/cm 2 and focus from -1µm to 1µm. (Refer 4a-e in Running a stepper job under ASML stepper procedure) 6. Find the optimum dose for maximum usable depth of focus. 7. Strip Photoresist using the Gastronics Asher (FFF recipe). 8. Perform another FEM for the clear field mask (CLEAR 1) using the ASML. Energy Range from 200mJ/cm 2 to 300mJ/cm 2 and focus from -1µm to 1µm. (Refer 4a-e in Running a stepper job under ASML stepper procedure) 9. Pattern first layer of Photoresist using COAT recipe and expose it on the ASML by following the ASML stepper procedure. 10. Observe the Pattern using the Microscope and make sure the desired pattern is obtained. 11. Etch the first pattern in the oxide using Drytek Quad. (Use Etch Procedure below to etch oxide). 12. Strip photoresist using the Gastronics Asher (FFF recipe) 13. Pattern second layer of Photoresist using NO DISPENSE recipe and measure PR thickness. Enter the Avg. oxide thickness obtained and Refractive index of PR (1.64 for positive photoresist.) 14. Expose it on the ASML by following the ASML stepper procedure. Use diluted OiR 620 PR for 2 nd layer. 15. Develop the second layer using DEVELOP RECIPE. 16. Observe stitching and patterned resolution for Pattern 1, 2, 3 and contacts. 17. Observe pattern using a microscope and measure CD. 18. Observe Optical Verniers & Resolution lines and Measure Overlay and Resolution. (Note: For Alignment and Oxide Procedure refer APPENDIX) ASML Stepper Procedure: Load Reticle: 1. Make sure that the computer is on the Main Menu, if not select 0 Exit. Do not exit from the Main Menu. 2. To remove the reticle box from the machine, under select Mat Hdl from the top of the screen and then 3 Exchange Reticle Box. Click the Unlock button in the middle of the screen to unlock the reticle box. 3. Remove the box by lifting straight up 2 cm, tilting the front up and moving it away from the stepper at a 45 degree angle. Always support the reticle box on the bottom to prevent reticles from falling out. 4. Carefully open the reticle box. The clear top is released from the base by sliding the 4 clamps underneath the base. Load the reticles chrome side down with the pre-alignment stars facing out. (USE Reticle labelled SMIT). 5. To load the reticle box, lower it straight down without tilting it. The open side of the internal cassette should be towards the stepper.

Defining a Batch and Running a Stepper Job: 1. From the Main Menu, select 2- Batch Control and 1 Define Batch. 2. The Job Name is MCEE605-DP 3. Click on the line to the right of Layer ID. Select appropriate Layer ID. (Layer number zero is used for exposing the alignment marks onto the wafer). For 1 st Level use COMB 1 and for the second level use COMB 2. 4. The Batch Size is the number of wafers or cassettes that you want to expose. Enter 1. 5. For Batch type, select P. a. If performing an FEM, select M for Matrix. b. Enter Nominal Energy as 200mJ/cm 2 and step size of 10mJ/cm 2. c. Enter Nominal Focus of -1µm and step size of 0.2sµm. d. Look for the Optical verniers and resolution lines located on top left, top right and bottom right for each die and find optimum exposure and focus for 1/3 duty ratio of 0.5 or 0,75µm feature sizes. e. Find dose for maximum depth of focus. 6. Enter optimum focus and exposure dose from FEM. 7. Under Illumination Mode select conventional. Hit Run to expose. Drytek Quad Etch Procedure 1. In the service chase #2715 behind the DRYTEK QUAD, ensure the N2 manifold (located immediately on your right-hand side as you enter the service chase) labeled "DRYTEK QUAD 482 VENT" is on. The pressure should read at least 15 PSI. 2. In the Service Chase ensure that the POWER on the Drytek Quad Main Power Panel is on. (Look at light for main power) Below the Main Power Panel make sure the ROBOT PUMP is on. To the right make sure CHAMBER 1&2 PUMP PACKAGE, and CHAMBER 3&4 PUMP PACKAGE are on. 3. In service chase 17-2715 make sure both chillers are on and full. 4. Season the Chamber if necessary. 5. To edit a recipe, use the arrow keys to highlight ALTER, then press SELECT. 6. Select FACCCUT recipe. 7. Only edit the Maximum Time and Abort Time to 2 mins. 8. When done altering the recipe, press EXIT to return to the main menu. 9. Open the door to the cassette chamber using the two switches on the control panel above the keyboard. 10. Place the wafers in the BLUE cassettes labeled SPECIAL CASSETTE DRYTEK QUAD ONLY face up, flats up, starting with slot one and close chamber door. 11. From the main menu use the arrow keys highlight RUN and press SELECT. 12. The recipe you altered should be highlighted. Press the SELECT key. 13. When the word "READY" appears in the upper left part of the screen as shown below, press the white CYCLE START key located on the upper left hand side of the keyboard. 14. Press PROC VALUE or the Page Down button to monitor the etch process on the screen to verify the pressure, power, gas, and time is correct. 15. After the recipe is complete, the wafers will be returned to the cassette in the same slots they were removed from 16. Contact TA/Dr. Ewbank for manual load.

ANALYSIS: 1. Perform a Prolith simulation for FEM using the lab conditions and draw comparisons on Exposure dose, focus and depth of focus obtained in lab. 2. Calculate k1 factor using Rayleigh s resolution equation for simulated results and obtained results. Explain discrepancies. APPENDIX: Alignment Procedure: 1. Coat OiR 620 photoresist with standard Coat recipe. 2. Expose the coated wafers in the ASML stepper using the MCEE605-DP stepper Job and the ASML Combi Mask. 3. Develop the exposed wafer using standard Develop recipe on the SSI track. 4. Etch into Silicon using the ZEROETCH recipe in the Drytek Quad (Follow Etch procedure to use Drytek) 5. Strip photoresist. Oxide Procedure: 1. Use Tube 4 to grow 1000Å dry oxide. 2. From the GMI Cell Manager on the furnace computer select the tube 4 by clicking on it once. A blue border appears around the selected tube. Double click on it to open the Process Manager for that tube. 3. Warm Up the Tube to 800C. Remove boat before warm-up. a. Select Send and then Recipe from the top left of the page. b. On the Recipe Select screen make sure that the recipe library is Production. c. For Tubes #1-4, select Recipe 888. Warm up recipes should not be started 4. Let the tube to heat up to 800C. Make sure that all of the temperature readings are 800C. 5. Load your wafers into the quartz cassette with the flats up and the fronts facing out of the tube. 6. From the Process Manager page for the tube that you will be using, select and download the recipe 310 Lfull 1,000Å Dry Oxide recipe. Tubes #1-4 will automatically open. 7. When the tube is finished opening, immediately place your wafers on the center line of the cantilever using the fork. Make sure that baffle is to the left of the wafers and touching the cassette. The cassette that is not being used should be placed to the left of the baffle. Never touch Quartzware with your hands.

8. The recipe may now be started from the Process Manager page by selecting Start/Abort and then Start Recipe, or by using the F5 key. Select OK to confirm. 9. When the run is complete, the tube will open automatically. After removing the wafers, return the cassette to the cantilever. Select F7 or use the F7 key to acknowledge the recipe completion. 10. From the Process Manager page, for Tubes #1-4 send Recipe 999.