PowerField: A Transient Temperature-to-Power Technique based on Markov Random Field Theory

Similar documents
Architecture-level Thermal Behavioral Models For Quad-Core Microprocessors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Electric-Energy Generation Using Variable-Capacitive Resonator for Power-Free LSI: Efficiency Analysis and Fundamental Experiment

Thermal and Power Characterization of Real Computing Devices

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Thermomechanical Stress-Aware Management for 3-D IC Designs

Online Work Maximization under a Peak Temperature Constraint

Test Generation for Designs with Multiple Clocks

Feasibility Study of Capacitive Tomography

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits

HIGH-PERFORMANCE circuits consume a considerable

Thermal System Identification (TSI): A Methodology for Post-silicon Characterization and Prediction of the Transient Thermal Field in Multicore Chips

INTEGRATION, the VLSI journal

Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs

Design for Manufacturability and Power Estimation. Physical issues verification (DSM)

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems

Information Storage Capacity of Crossbar Switching Networks

Energy Minimization via Graph Cuts

Leakage Minimization Using Self Sensing and Thermal Management

Maximum Achievable Gain of a Two Port Network

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Blind Identification of Power Sources in Processors

Transient Through-Silicon Hotspot Imaging

Thermal Measurements & Characterizations of Real. Processors. Honors Thesis Submitted by. Shiqing, Poh. In partial fulfillment of the

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

Design Methodology and Tools for NEC Electronics Structured ASIC ISSP

! Charge Leakage/Charge Sharing. " Domino Logic Design Considerations. ! Logic Comparisons. ! Memory. " Classification. " ROM Memories.

On Optimal Physical Synthesis of Sleep Transistors

Extremely small differential non-linearity in a DMOS capacitor based cyclic ADC for CMOS image sensors

Part 6: Structured Prediction and Energy Minimization (1/2)

Stochastic Dynamic Thermal Management: A Markovian Decision-based Approach. Hwisung Jung, Massoud Pedram

Accurate Temperature Estimation for Efficient Thermal Management

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

DESIGN OF LOW POWER-DELAY PRODUCT CARRY LOOK AHEAD ADDER USING MANCHESTER CARRY CHAIN

Analytical Model for Sensor Placement on Microprocessors

Efficient Incremental Analysis of On-Chip Power Grid via Sparse Approximation

Itanium TM Processor Clock Design

Heap Charge Pump Optimisation by a Tapered Architecture

DC-DC Converter-Aware Power Management for Battery-Operated Embedded Systems

Early-stage Power Grid Analysis for Uncertain Working Modes

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable Systems

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Maximum Effective Distance of On-Chip Decoupling Capacitors in Power Distribution Grids

Optimal Common-Centroid-Based Unit Capacitor Placements for Yield Enhancement of Switched-Capacitor Circuits

Chapter 2 Process Variability. Overview. 2.1 Sources and Types of Variations

Buffered Clock Tree Sizing for Skew Minimization under Power and Thermal Budgets

Energy-Optimal Dynamic Thermal Management for Green Computing

Design of On-interposer Active Power Distribution Network for an Efficient Simultaneous Switching Noise Suppression in 2.5D/3D IC

MM74C912 6-Digit BCD Display Controller/Driver

Blind Identification of Thermal Models and Power Sources from Thermal Measurements

Parameterized Architecture-Level Dynamic Thermal Models for Multicore Microprocessors

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

Copyright 1996, by the author(s). All rights reserved.

A Fast Leakage Aware Thermal Simulator for 3D Chips

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

CS 436 HCI Technology Basic Electricity/Electronics Review

Digital Integrated Circuits. The Wire * Fuyuzhuo. *Thanks for Dr.Guoyong.SHI for his slides contributed for the talk. Digital IC.

Dynamic Power Management under Uncertain Information. University of Southern California Los Angeles CA

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

A Generative Perspective on MRFs in Low-Level Vision Supplemental Material

Integer Least Squares: Sphere Decoding and the LLL Algorithm

Sequential Equivalence Checking without State Space Traversal

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

Evaluating Power. Introduction. Power Evaluation. for Altera Devices. Estimating Power Consumption

Supplementary Information for On-chip cooling by superlattice based thin-film thermoelectrics

Proceedings of the IPACK2009 ASME InterPACK 09 July 19-23, 2009, San Francisco, California, USA

Compact Thermal Modeling for Temperature-Aware Design

Lab #4 Capacitors and Inductors. Capacitor Transient and Steady State Response

Capacitance - 1. The parallel plate capacitor. Capacitance: is a measure of the charge stored on each plate for a given voltage such that Q=CV

Analytical Heat Transfer Model for Thermal Through-Silicon Vias

Determining Appropriate Precisions for Signals in Fixed-Point IIR Filters

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

The Fast Optimal Voltage Partitioning Algorithm For Peak Power Density Minimization

System-Level Modeling and Microprocessor Reliability Analysis for Backend Wearout Mechanisms

Throughput of Multi-core Processors Under Thermal Constraints

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Parameterized Transient Thermal Behavioral Modeling For Chip Multiprocessors

Interconnect Lifetime Prediction for Temperature-Aware Design

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay

Memory Thermal Management 101

ENHANCEMENT OF NANO-RC SWITCHING DELAY DUE TO THE RESISTANCE BLOW-UP IN InGaAs

Using Computational Fluid Dynamics And Analysis Of Microchannel Heat Sink

A Novel LUT Using Quaternary Logic

Categories and Subject Descriptors C.4 [Perfomance of Systems]: Reliability, availability, and serviceability

Design and Implementation of Carry Tree Adders using Low Power FPGAs

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 10, OCTOBER

by M. Kaluza*, I. Papagiannopoulos**, G. De Mey **, V. Chatziathanasiou***, A. Hatzopoulos*** and B. Wiecek*

An Algorithm for Numerical Reference Generation in Symbolic Analysis of Large Analog Circuits

Imago: open-source toolkit for 2D chemical structure image recognition

Sensors and Actuators Sensors Physics

Submitted to IEEE Trans. on Components, Packaging, and Manufacturing Technology

Measurement and Modeling of MOS Transistor Current Mismatch in Analog IC s

Switching Activity Calculation of VLSI Adders

System Level Leakage Reduction Considering the Interdependence of Temperature and Leakage

The Study of a Digital Equivalent Capacitor Circuit

Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems

A Novel Software Solution for Localized Thermal Problems

Switched Mode Power Conversion Prof. L. Umanand Department of Electronics Systems Engineering Indian Institute of Science, Bangalore

ELECTROMAGNETIC MODELING OF THREE DIMENSIONAL INTEGRATED CIRCUITS MENTOR GRAPHICS

Transcription:

PowerField: A Transient Temperature-to-Power Technique based on Markov Random Field Theory Wongyu Shin 1 wgshin@mvlsi.kaist.ac.kr Seungwook Paek 1 swpaek@mvlsi.kaist.ac.kr Jaehyeong Sim 1 jhsim@mvlsi.kaist.ac.kr Seok-Hwan Moon 2 shmoon@etri.re.kr Lee-Sup Kim 1 lskim@ee.kaist.ac.kr 1 Department of Electrical Engineering 2 Convergence Components & Materials Research Lab. KAIST ETRI Daejeon, 305-701, Korea Daejeon, 305-700, Korea ABSTRACT Transient temperature-to-power conversion is as important as steady-state analysis since power distributions tend to change dynamically. In this work, we propose PowerField framework to find the most probable power distribution from consecutive thermal images. Since the transient analysis is vulnerable to spatio-temporal thermal noise, we adopted a maximum-a-posteriori Markov random field framework to enhance the noise immunity. The most probable power map is obtained by minimizing the energy function which is calculated using an approximated transient thermal equation. Experimental results with a thermal simulator shows that PowerField outperforms the previous method in transient analysis reducing the error by half on average. We also applied our method to a real silicon achieving 90.7% accuracy. Categories and Subject Descriptors: C.4[Performance of Systems]Measurement Techniques General Terms: Algorithms, Verification, Experimentation, Measurement Keywords: Power, thermal imaging, post-silicon verification, Markov random field 1. INTRODUCTION Low power consumption and thermal safety are becoming major design considerations [1, 2]. For these goals, designers should estimate the power distribution and thermal behavior at design time in an acceptable accuracy. Those physical phenomina are getting hard to be predicted as fabrication technology evolves. To resolve the problem of these uncertainties, the power model has to be validated with postsilicon power verification. However, the direct post-silicon power measurement is quite expensive since it requires a number of on-chip measurement circuitries inside a chip[3]. In practice, it is infeasible to measure the dense power map Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. DAC 2012, June 3-7, 2012, San Francisco, California, USA. Copyright 2012 ACM 978-1-4503-1199-1/12/06...$10.00. map Applied power pattern Steadystate 0ms 10ms 20ms Figure 1: Motivating example: results of a steadystate temperature-to-power technique on transient thermal images. directly. This is the motivation of an indirect power measurement using thermal imaging devices. Since power dissipation directly affects thermal map, it is reasonable to find an inverse transformation from temperature to power. Several approaches were proposed to extract the power map from thermal images. The first work is refered to as Spatiallyresolved Imaging of Microprocessor Power (SIMP)[4]. In this technique, the relationship between the steady-state temperature map and the power map is described as the following equation: A P = T (1) where T, P and A are temperature, power and thermal resistance matrices respectively. Each entry of the matrix T and P represents a unit silicon segment which is called as a thermal node. SIMP method also proposed a well-organized measurement setup using a scanning laser beam to obtain thematrixa. OncewegetAandT, P isobtainedbysolving (1) using a linear least-square technique[4]. Based on SIMP method, several works had brought novel improvements by adopting regularization theory[5], constrained Levenberg- 630

Marquardt(LM) algorithm [6] and AC thermography technique[7]. However, the previous works have a limitation in common that all the thermal images have to be steady-state. Indeed, these techniques cannot capture the correct power map if the power pattern changes in runtime. For example, many microprocessors support chip-level dynamic power and thermal management for low-power consumption and thermally safe operation[3, 8]. As the trend of runtime power optimization in chip s operation grows, a need for correct verification of temporal power changes also increases. Thus, to be more useful, a temperature-to-power converter should support not only steady-state but also transient analysis. While Martinez et al. proposed a transient power analysis of a microprocessor by using genetic algorithm[9], the resolution was limited to a functional block level. Fig. 1 shows a stark difference between a steady-state and the actual power map when transient thermal images are provided. In this example, a significant residual image of power hinders us from recognizing the actual power pattern. For many designs with more complex timevarying power patterns, the steady-state technique is not likely to produce the correct power distribution. Obviously, the fundamental reason of this mis is that the intermediate thermal images are assumed to be steady-state. Motivated by this observation, we aim to design a new framework based on a transient heat transfer equation. In order to cope with high noise sensitivity of transient analysis[6], we borrowed maximum-a-posteriori Markov random field (MAP-MRF) framework[10] including physical modeling and optimization method from computer vision which is already proven to be robust to spatio-temporal noise in many inverse applications such as stereo matching[11] and video denoising[12]. Using this framework, we find the most probable solution by regarding the power map as a two-dimensional field of random variables. When we construct a random field of power, two intrinsic properties of planar thermal systems are considered - the temperature distribution calculated by the power has to be smooth in spatial domain and the temperature change of a thermal node is affected only by the node itself and the neighboring nodes. Taking these into account, we propose a new framework for transient temperature-to-power conversion referred to as PowerField. Given two consecutive thermal images with time interval t, we calculate an energy function which measures how the current power is not probable. The energy function reflects the abovementioned thermal properties. Then the PowerField algorithm finds the most probable power distribution by minimizing the energy function using a global optimization algorithm. In this work, we used graph-cuts optimizer[13] which efficiently minimizes the MRF-based energy function. We demonstrate the performance of PowerField in two different ways. The first experiment is done with HotSpot thermal simulator[14]. In this experiment, we show that PowerField outperforms previous methods in transient analysis. Then we move on to an analysis of an FPGA chip with controlled power generation patterns in a similar way to the previous works[5, 7]. The major contributions of this paper are summarized as follows. A new formulation of transient temperature-to-power conversion problem based on MAP-MRF framework (Section 2,3). An approximate transient heat transfer formula which greatly simplifies the problem by exploiting the thermal measurement data(section 3.3). Demonstration of the effectiveness of PowerField, an implementation of proposed framework, in transient analysis using thermal simulator and real world measurement data (Section 4). The remainder of this paper is organized as follows. In Section 2, we introduce MAP-MRF framework and show how it is used to solve the temperature-to-power inversion problem. Section 3 describes the problem formulation of transient analysis by defining the energy function and the objective. In addition, we derive an approximate transient heat equation to efficiently compute the energy function. Then we show the evaluation results in Section 4. Finally, we conclude and discuss the future work in Section 5. 2. BACKGROUND MRF is a probability theory mostly used for analyzing the spatio-temporal dependencies of physical phenomena. Many image analysis and interpretation problems can be posed as labeling problems in which the solution is a set of labels assigned to image pixels. In temperature-to-power problem, the power value of each thermal node corresponds to a label. A labeling problem is specified in terms of a set of sites and a set of labels. A site can be a pixel or a set of pixels depending on the formulation of the thermal node network. For spatial representation, a set of site S and a neighborhood system N are defined: S = {1,...,m},N = {N i i S} (2) in which 1,...,m are indices. Let L be a set of labels which can have one of M discrete values: L = {0,...,M 1} (3) Then we can define a family of random variables: F = {F 1,...,F m} (4) on the set S. In F, each random variable F i takes a value f i in L. f = {f 1,...,f m} is called a labeling. The family F becomes an MRF on S with respect to N if and only if the following two conditions are satisfied: P(f) > 0, f F (positivity) (5) P(f i f S {i} ) = P(f i f Ni ) (Markovianity) (6) The Markovianity depicts the local characteristics of F, i.e. for each site, only neighboring sites have direct interactions with each other. With an aproximation that the heat flows through the neighboring thermal nodes for a small t, the power distribution over the thermal node network can be modeled as an MRF. Once the thermal system is modeled into MRF, what we want is to find the labeling f which maximizes the posterior probability P(f d) where d is the observation. This technique is called a MAP- MRF framework. According to the MAP-MRF theory [10], P(f d) is represented as follows: P(f d) e U(f d) (7) 631

where U(f d) = U(d f) + U(f) (8) = D p(d p,f p)+λ p S V p,q(f p,f q) (9) {p,q} N is the posterior energy in which λ controls the ratio of two energy components. In (9), D p(d p,f p) measures how the labelf p isunlikely toproducetheobservationd p andv p,q(f p,f q) denotes how f p disagrees with the intrinsic characteristics of the random field such as smoothness of the temperature distribution. D p(d p,f p) and V p,q(f p,f q) are also known as a data energy and a smoothness energy respectively. (9) implies that maximizing the posterior probability P(f d) is equivalent to minimizing the posterior energy U(f d). Thus, we can apply energy minimization algorithms to U(f d) to find the optimal labeling f. d w Dimension d t d h T c R c R T p C Electrical model I p 3. PROBLEM FORMULATION In this section, we formulate the problem by defining each energy term D p(d p,f p) and V p,q(f p,f q) in (9). The data energy and the smoothness energy jointly contribute to the final solution by considering error reduction and themal smoothness at the same time. The objective of the problem is to find the power configuration (labeling) f that minimizes the posterior energy U(f d). 3.1 Data Energy As introduced in Section 2, the data energy depicts the unlikeliness of the current labeling when a set of observation is given. For each thermal node p, our data energy is defined as an absolute difference between the measured temperature and the calculated temperature at t = t as follows: D p(d p,f p) = T p t(d p) T p( t,f p) (10) where T p t(d p), T p( t,f p) are the observed and the calculated temperatures respectively. 3.2 Smoothness Energy Minimizing only the data energy may not lead to the optimal solution since the measurement data contains considerable noise. In order to cope with this problem, a smoothness energy is introduced which drives the solution to satisfy our expectation. Even if the algorithm gets non-smooth thermal images, it is expected that the calculated temperature distribution with the estimated power has to be smooth which is the prior knowledge of the thermal system. Thus, the smoothness energy is defined to be proportional to a difference between the calculated temperature of a thermal node and its neighbors at t = t: V p,q(f p,f q) = T p( t,f p) T q( t,f q) α (11) where α controls the shape of the smoothness function. Since (11) is defined in a pairwise manner, it is computed for every pair of thermal nodes in the neighborhood system N. 3.3 Approximate Heat Transfer Formula In this section, we describe a thermal modeling to compute the temperature of a thermal node p at t = t which is an essential part of energy computation. Specifically, the objective of this section is to derive a formula of T p as a function of f p and t given the observed temperatures of every thermal nodes at time 0 and t. As shown in Fig. 2, Figure 2: A thermal model used in this work. ourthermalmodelis basedon awell-knownlumpedrc network model. Each pair of the die segments is connected by thermal resistors R. Each thermal node has its own power source and thermal capacitor which are denoted by I p and C respectively. The secondary heat dissipation paths such as air and C4 pads are merged into a single thermal node with R c having constant temperature T c during the time interval t. This approximation is reasonable since they have relatively high heat capacity and the t is very short (typically milisecond order). We assume that the thermal characteristics are uniform over the entire die, so every thermal resistance and capacitance have the same values of R c, R and C as follows: R c = 1 k d t,r = 0.5 1 d w,c = 0.333cd wd h d t (12) d wd h k d h d t where k and c denote the thermal conductance and the specific heat capacity of silicon respectively. The scaling factors of 0.5 and 0.333 in R and C are borrowed from the HotSpot thermal model[14]. Once the thermal behavior is modeled in a lumped RC network, we can apply various circuit analysis techniques to it. Based on Kirchhoff s current law, a heat equation is described as: ( ) Tn T p Tc Tp + +I p C dtp = 0 (13) R R c dt where N p and T n denote the set of thermal node p s neighbors and the neighbor node n s temperature. Then we can rewrite this equation to construct a first-order linear equation of T and t as: dt p dt + 1 ( 4 C R + 1 ) T p = 1 R c C ( ) Tn + Tc R R +Îfp c (14) Note that I p is replaced by Îfp where Î denotes the power per unit label. Directly solving (14) induces heavy computational cost because T n is also a function of T p. Since we know the observed temperature of the neighbor nodes, we can approximate the temperature of neighbor nodes as a linear function of t: T n(t) = T n0 + Tn t Tn0 t (15) t 632

where T n0 and T n t are the measured temperature of neighbornodenatt = 0and trespectively. Thisapproximation allows T p to be independent to the temperature of the neighboring nodes and simplify the problem since T p becomes a function of f p and t only. Applying (15) to (14) yields the following: dt p dt + 1 C ( 4 R + 1 ) T p = R c 1 RC Solving (16), we get the solution of T p as: (T n0 +T n t T t n0) t + Tc +Îfp (16) R c T p(t,f p) = T p0e t/τ +T (1 e t/τ ) τ + (T n t T n0) (17) RC where ( 4 τ = CR + 1 ) 1 CR c T = τ T n0 C R τ T n t T n0 t R + Tc R +Îfp c 3.4 Energy Minimization Once we have constructed the energy function, finally we can find the most plausible power map by energy minimization techniques. In other words, the objective is to find the best labeling f minimizing the energy function among all the possible configurations F: f = argminu(f d) (18) f F Since the MAP for a discrete MRF is NP-hard in general, we used an approximate technique called graph-cuts since it greatly reduces the computation time by using fast iterative expansion and swap algorithms[13]. The algorithm is guaranteed to find a strong local minimum of the energy within L iterations. In practice, the optimization process is generally finished in 5-10 iterations. 4. EVALUATION In this section, we performed two experiments with simulation results and real world measurement data. The errors are calculated by using rounded power maps which is proposed in previous works[5, 7]. 4.1 PowerField Framework All the features described in previous sections are integrated into our PowerField implementation. As shown in Fig. 3, the system receives two consecutive thermal images from a thermal imaging device or a thermal simulator. Initially, all the power values are set to zero and the system computes the corresponding energy function. Then the graph-cuts algorithm generates a power by minimizing the energy function. This process is iterated until the energy reaches the lowest value. 4.2 HotSpot Simulation Results Before we apply PowerField to real world thermal images, a fully controlled experiment with an accurate thermal simulator is performed. We used Hotspot thermal simulator t = 0 model Optimizer Measured temperature t = t Calculated temperature t = t Estimated power Smoothness + - Data Energy Function Figure 3: Overview of PowerField framework. Table 1: Important Parameters for Evaluation using Hotspot Simulator Hotspot Parameters d t 500µm d w,d h 250µm k 100.0 (W/m-K) c 1.75 10 6 J/m 3 -K Simulated Runtime 20 msec t 10 msec Floorplan checker (64 64) Power Trace spot, dacpower PowerField Parameters M 100 Î 1.0 10 3 W α 2.0 λ 1.0 10 6 which provides reliable results compared to accurate finite elements analysis[14]. The simulated runtime is set to 20ms with time interval of 10ms that reflects the microprocessors thermal time constant (5ms to 300ms)[15]. The detailed configuration of Hotspot simulator is shown in Table 1. Two power traces are applied in this experiment. The first one has a simple one-point heat source (Fig. 4-(a)) which helps to understand the difference between the steady-state method and PowerField intuitively and another one, named dacpower (Fig. 4-(b)), is used to demonstrate the superiority of our method for complex power patterns. Initially, we let the silicon to be steady-state with initial power distribution. Then we abruptly (at 0ms) switch the distribution to a very different one. As shown in Fig. 4, the results with previous method contain an amount of afterimage which disrupts identifying the correct power patterns. In contrast, PowerField outperforms the previous method in transient analysis of one-point and dacpower patterns with average errors of 0%, 6.6% respectively. 4.3 FPGA Measurement Results We applied PowerField technique to a Xilinx Virtex-4 633

0ms 10ms 20ms 0ms 10ms 20ms map Applied power pattern Steady-state Rounded power map (steady-state) Error 0% 5.7% 1.5% 10.8% 14.4% 10.2% PowerField Rounded power map (PowerField) Error 0% 0% 7.6% 5.6% (a) Simple one-point power pattern(spot) (b) Complex power pattern (dacpower) Figure 4: Transient analysis using HotSpot[14] thermal simulator. 2.0mm 9.62mm 14.5mm Figure 5: Floorplan of the micro heaters. FPGA chip with pre-defined power generation patterns. The thermal imaging device (FLIR A325) captures the infrared signals from the backside of the chip. The signal is stored as a raw data of the sensor response and converted to a temperature value using the calibration method described in [7]. Then the images are filtered using spatial low-pass filter to remove the significant high frequency noise. Fig. 5 shows our floorplan which consists of 3 12 micro heater blocks containing 36 free-running ring oscillators. Each micro heater consumes 46.5mW on the average. Two 36-bit registers are used to store two different enable-signals for micro heaters to construct the desired power patterns. Using an external switch, we toggle between two power patterns for transient analysis. For the experiment, a transition of power pattern from COLD to HOT is used. The thermal imaging device captures the transient thermal maps with 16ms time interval which is less than half of the entire thermal map transition. As shown in Fig. 6, our algorithm effectively estimates the correct power pattern even when the thermal map is changing and 90.7% accuracy on average was achieved with rounded power maps. 5. CONCLUSIONS In this work, we propose the use of computer vision technique, MAP-MRF framework, for transient temperature-topower inversion problem that is more general situation in real world post-silicon verification. By exploiting its robustness against spatio-temporal noise, PowerField succesfully 634

map 0ms 16ms 32ms Applied power pattern PowerField Rounded power map (PowerField) Error 8.3% 13.9% 5.6% Figure 6: Transient analysis using an FPGA with a transition of power pattern from COLD to HOT. estimates the correct power map from time-varying thermal images. Experimental results with a thermal simulator shows that PowerField outperforms the previous method in transient analysis reducing the error by half on average. We also applied our method to a real silicon achieving 90.7% accuracy. Our future research direction is to devise a way to obtain the model parameters such as R, R c and C directly from the measurement similar to the scanning laser beam in [4] for more reliable estimates. 6. ACKNOWLEDGEMENTS This work was supported by Basic Science Research Pro- gramthroughthenrfofkoreafundedbythemest(no.2011-0000320, No.2011-0018357), IDEC, and the IT R&D program of MKE/KEIT. [KI002134, Wafer Level 3D IC Design and Integration] 7. REFERENCES [1] D. Brooks, R. P. Dick, R. Joseph, and L. Shang, Power, thermal, and reliability modeling in nanometer-scale microprocessors, IEEE Micro, vol. 27, pp. 49 62, June 2007. [2] W. Huang, M. R. Stant, K. Sankaranarayanan, R. J. Ribando, and K. Skadron, Many-core design from a thermal perspective, in Proc. of Design Automation Conf., pp. 746 749, June 2008. [3] C. Poirier, R. McGowen, C. Bostak, and S. Naffziger, Power and temperature control on a 90nm itanium family processor, in Int. Solid-State Circuits Conf., pp. 304 305, Feb. 2005. [4] H. Hamann, J. Lacey, A. Weger, and J. Wakil, Spatially-resolved imaging of microprocessor power (simp): hotspots in microprocessors, in The Tenth Intersociety Conf. on and Thermomechanical Phenomena in Electronics Systems, pp. 121 125, June 2006. [5] R. Cochran, A. N. Nowroz, and S. Reda, Post-silicon power characterization using thermal infrared emissions, in Proc. Int. Symp. on Low Power Electronics and Design, pp. 331 336, 2010. [6] Z. Qi, B. H. Meyer, W. Huang, R. J. Ribando, K. Skadron, and M. R. Stan, Temperature-to-power mapping, in Proc. Int. Conf. on Computer Design, pp. 384 389, Oct. 2010. [7] A. Nowroz, G. Woods, and S. Reda, Improved post-silicon power modeling using ac lock-in techniques, in Proc. Design Automation Conf., pp. 101 107, June 2011. [8] P. Salihundam, S. Jain, T. Jacob, S. Kumar, V. Erraguntla, Y. Hoskote, S. Vangal, G. Ruhl, and N. Borkar, A 2 tb/s 6 4 mesh network for a single-chip cloud computer with dvfs in 45 nm cmos, IEEE Journal of Solid-State Circuits, vol. 46, pp. 757 766, Apr. 2011. [9] F. J. Mesa-Martinez, J. Nayfah-Battilana, and J. Renau, Power model validation through thermal measurements, in Proc. Int. Symp. on Computer Architecture, pp. 302 311, June 2007. [10] R. Kindermann and J. L. Snell, Markov Random Fields and Their Applications. American Mathematical Society, 1980. [11] V. Kolmogorov and R. Zabih, Computing visual correspondence with occlusions using graph cuts, in Proc. of Int. Conf. on Computer Vision, vol. 2, pp. 508 515, Jul. 2001. [12] J. Chen and C.-K. Tang, Spatio-temporal markov random field for video denoising, in Conf. on Computer Vision and Pattern Recognition, pp. 1 8, June 2007. [13] Y. Boykov, O. Veksler, and R. Zabih, Fast approximate energy minimization via graph cuts, IEEE Trans. on Pattern Analysis and Machine Intelligence, vol. 23, pp. 1222 1239, Nov. 2001. [14] W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron, and M. Stan, Hotspot: A compact thermal modeling methodology for early-stage vlsi design, IEEE Trans. on Very Large Scale Integration Systems, vol. 14, pp. 501 513, May 2006. [15] F. J. Mesa-Martinez, E. K. Ardestani, and J. Renau, Characterizing processor thermal behavior, in Proc. of Int. Conf. on Architectural Support for Programming Languages and Operating Systems, pp. 193 204, Mar. 2010. 635