Use of p- and n-type vapor phase doping and sub-melt laser anneal for extension junctions in sub-32 nm CMOS technology

Similar documents
Vapor Phase Doping with N-type Dopant into Silicon by Atmospheric Pressure Chemical Vapor Deposition

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

Low Contact Resistance on p-sige Junctions with B / Ga Implants and Nanosecond Laser Anneal

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Beyond SRP: Quantitative carrier profiling with M4PP

DIFFUSION - Chapter 7

Nondestructive extraction of junction depths of active doping profiles from photomodulated optical reflectance offset curves

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Advanced Millisecond Annealing Technologies and Its Applications and Concerns on Advanced Logic LSI fabrication processes

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

High precision micro-scale Hall Effect characterization method using in-line micro four-point probes

Ion Implantation ECE723

Lecture 0: Introduction

LOW-TEMPERATURE Si (111) HOMOEPITAXY AND DOPING MEDIATED BY A MONOLAYER OF Pb

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference

A final review session will be offered on Thursday, May 10 from 10AM to 12noon in 521 Cory (the Hogan Room).

Atomically Controlled Processing for Group IV Semiconductors. Junichi Murota* and Masao Sakuraba

Diffusion in Extrinsic Silicon and Silicon Germanium

EE-612: Lecture 22: CMOS Process Steps

MOS Transistor Properties Review

MOSFET: Introduction

Supporting Information. A differential Hall effect measurement method with. sub-nanometre resolution for active dopant

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

September 21, 2005, Wednesday

Dainippon Screen Mfg. Co., Ltd , Takamiya, Hikone, Shiga , Japan. IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

Atomic configuration of boron pile-up at the Si/SiO 2 interface

Fabrication Technology, Part I

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

nmos IC Design Report Module: EEE 112

Chapter 8 Ion Implantation

Characterization of Ultra-Shallow Implants Using Low-Energy Secondary Ion Mass Spectrometry: Surface Roughening under Cesium Bombardment

Dopant Diffusion. (1) Predeposition dopant gas. (2) Drive-in Turn off dopant gas. dose control. Doped Si region

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Dose loss and segregation of boron and arsenic at the Si/SiO 2 interface by atomistic kinetic Monte Carlo simulations

Diffusion and Ion implantation Reference: Chapter 4 Jaeger or Chapter 3 Ruska N & P Dopants determine the resistivity of material Note N lower

Evolution of fluorine and boron profiles during annealing in crystalline Si

ION IMPLANTATION - Chapter 8 Basic Concepts

F + Implants in Crystalline Si: The Si Interstitial Contribution

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Diffusion in Extrinsic Silicon

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts

The Pennsylvania State University. Kurt J. Lesker Company. North Carolina State University. Taiwan Semiconductor Manufacturing Company 1

Chapter 3 Basics Semiconductor Devices and Processing

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Metrology is not a cost factor, but a profit center

Dopant and Self-Diffusion in Semiconductors: A Tutorial

Applications of ion beams in materials science

OPTIMIZATION OF ION IMPLANTATION USING TRIM SOFTWARE FOR THE FORMATION OF SHALLOW N + /P JUNCTION IN GERMANIUM SUBSTRATE

P. R. Nelson 1 ECE418 - VLSI. Midterm Exam. Solutions

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

IC Fabrication Technology

This is the author s final accepted version.

A Computational Model of NBTI and Hot Carrier Injection Time-Exponents for MOSFET Reliability

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

NEW ANALYTICAL MODEL AND SIMULATION OF INTRINSIC STRESS IN SILICON GERMANIUM FOR 3D NANO PMOSFETS

Chapter 9 Ion Implantation

Multiple Gate CMOS and Beyond

Supporting Online Material for

Electrochemical Society Proceedings Volume

EE143 LAB. Professor N Cheung, U.C. Berkeley

Film Deposition Part 1

Influence of CO 2 and H 2 O on Air Oxidation of Mg Nanoparticles Studied by NEXAFS

Semiconductors Reference: Chapter 4 Jaeger or Chapter 3 Ruska Recall what determines conductor, insulator and semiconductor Plot the electron energy

Microscopy AND Microanalysis MICROSCOPY SOCIETY OF AMERICA 2006

SOFT-ERROR SUSCEPTIBILITY OF FinFET SRAMs

Chapter 12: Electrical Properties. RA l

Graphene devices and integration: A primer on challenges

Frequency dispersion effect and parameters. extraction method for novel HfO 2 as gate dielectric

! CMOS Process Enhancements. ! Semiconductor Physics. " Band gaps. " Field Effects. ! MOS Physics. " Cut-off. " Depletion.

Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling

Enhanced Mobility CMOS

Properties of Error Function erf(z) And Complementary Error Function erfc(z)

Steam-Injected SPM Process for All-Wet Stripping of Implanted Photoresist

Chapter 2. Design and Fabrication of VLSI Devices

EE410 vs. Advanced CMOS Structures

The Devices. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Lecture 9. Strained-Si Technology I: Device Physics

Device 3D. 3D Device Simulator. Nano Scale Devices. Fin FET

Quiz #1 Practice Problem Set

EE130: Integrated Circuit Devices

Development of a nanostructural microwave probe based on GaAs

Series Resistance Calculation for Source/Drain Extension Regions Using 2-D Device Simulation

Lecture 4: CMOS Transistor Theory

Recent Development of FinFET Technology for CMOS Logic and Memory

CHAPTER 5 EFFECT OF GATE ELECTRODE WORK FUNCTION VARIATION ON DC AND AC PARAMETERS IN CONVENTIONAL AND JUNCTIONLESS FINFETS

Simple and accurate modeling of the 3D structural variations in FinFETs

Transcription:

Use of p- and n-type vapor phase doping and sub-melt laser anneal for extension junctions in sub-32 nm CMOS technology N. D. Nguyen a, E. Rosseel a, S. Takeuchi a,b, J.-L. Everaert a, L. Yang a,h, J. Goossens a, A. Moussa a, T. Clarysse a, O. Richard a, H. Bender a, S. Zaima c, A. Sakai d, R. Loo a, J.C. Lin e,g, W. Vandervorst a,f, M. Caymax a a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Department of Physics and Astronomy, KU Leuven, B-3001 Leuven, Belgium c Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Nagoya, 464-8603, Japan d Department of System Innovation, Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531, Japan e TSMC, R&D, 8, Li-Hsin 6th Rd., Hsinchu Science-Based Park, Hsinchu, Taiwan f Instituut voor Kern- en Stralingsfysika - IKS, KU Leuven, B-300I Leuven, Belgium g TSMC assignee at IMEC, Kapeldreef 75, B-300I Leuven, Belgium h Department of Chemistry and INPAC Institute, KU Leuven, B-300I Leuven, Belgium ABSTRACT We evaluated the combination of vapor phase doping and sub-melt laser anneal as a novel doping strategy for the fabrication of source and drain extension junctions in sub-32 nm CMOS technology, aiming at both planar and non-planar device applications. High quality ultra shallow junctions with abrupt profiles in Si substrates were demonstrated on 300 mm Si substrates. The excellent results obtained for the sheet resistance and the junction depth with boron allowed us to fulfill the requirements for the 32 nm as well as for the 22 nm technology nodes in the PMOS case by choosing appropriate laser anneal conditions. For instance, using 3 laser scans at 1300 C, we measured an active dopant concentration of about 2.1 10 20 cm -3 and a junction depth of 12 nm. With arsenic for NMOS, ultra shallow junctions were achieved as well. However, as also seen for other junction fabrication schemes, low dopant activation level and active dose (in the range of 1-4 10 13 cm -2 ) were observed although dopant concentration versus depth profiles indicate that the dopant atoms were properly driven into the substrate during the anneal step. The electrical deactivation of a large part of the in-diffused dopants was responsible for the high sheet resistance values. Keywords : Vapor phase doping ; Atomic layer epitaxy ; Laser anneal ; Ultra shallow junction ; Heavy doping ; Conformal doping ; Electrical activation 1. Introduction The development of advanced CMOS technologies requires a continual shrinking of the devices as described by the International Technology Roadmap for Semiconductors [1]. In this down-scaling process, the limits of manufacturability are being stretched in order to meet the increasing demands in efficiency, reliability and accuracy. One of the important requirements for the increase of the performances of MOSFETs in ultra large scale integration is the aggressive scaling of the source and drain extension junctions. Aiming at sub-10 nm junction depths, the achievement of low enough sheet resistance becomes however a progressively more difficult task. Using classical beamline techniques, the junction quality can be degraded by non-trivial issues related to implantation damage when amorphizing species are used to minimize dopant channeling. In the case of nonplanar devices such as FinFETs, the requirement of doping conformality poses additional challenges that cannot be addressed by beamline ion implantation (BII) which suffers from shadowing effect for patterns with a high fin density [2]. There is thus a strong need for novel doping strategies both for planar and non-planar devices. Vapor phase doping (VPD) [3] and plasma immersion ion implantation (P3I) [4,5] have already shown promising results and are potential alternatives to the classical BII. Sub-melt millisecond anneal is considered as the method of choice for dopant activation whereas classical spike rapid thermal annealing (RTA) results in excessive dopant diffusion and limited electrical activation [6,7]. In this work, we investigated the properties of ultra shallow junctions (USJs) fabricated by the combination of VPD and sub-melt laser annealing (LA) and

compared them with those obtained by BII using similar LA conditions. 2. Experimental Boron or arsenic was deposited on 300 mm Si (001) blanket wafers, using a standard horizontal cold wall, loadlocked, ASM Epsilon 3200 reactor, a reduced pressure chemical vapor deposition system designed for Si and SiGe epitaxy. Before deposition, the wafers received a NH 4OH/O 3-based clean followed by an in-situ bake at 1050 C for 60 seconds in H 2 in order to remove the native oxide. Boron-VPD was performed at 500 C and reduced pressure using B 2H 6 (1% in H 2) and N 2 as carrier gas. For n- type dopant deposition, AsH 3 (0.1% in H 2) was used as precursor gas. Typical exposure time of the wafer to the dopant flow ranges from 1 min to 30 min. Additional details of the VPD process can be found in Refs. [3] and [8]. The activation and the drive-in of the dopants were performed in a N 2 ambient using an 808 nm diode bar laser system from Applied Materials. For all measurements reported in this paper, a fixed scan speed of 150 mm/s was used corresponding to a dwell time of -0.5 ms and the peak wafer temperature was monitored during each scan with an integrated pyrometer. Wafer sheet resistance R s was determined either by a four-point-probe Rs100 from KLA-Tencor or by RsL, a non contact measurement technique, using an RsL100 from FSM. Secondary ion mass spectrometry (SIMS) was used to determine the dopant concentrations as function of depth. 3. Results and discussion 3.1. PMOS with boron doping Fig. 1 shows the sheet resistance after 3 LA scans as function of exposure time to the precursor gas. Since the incorporated boron dose increases with time [3,9], the amount of B atoms that can be activated also increases, leading to lower R s. A minimal value does however exist, due to the incorporated concentration limit set by the temperature-dependent solid solubility of the dopant. With a laser temperature of 1300 C, an optimal R s value of ~600Ω/sq was achieved for 10 min exposure time. The boron concentration as a function of depth for an as-deposited wafer and the profiles corresponding to wafers that received one or several laser scans, as measured by SIMS, are shown in Fig. 2(a) and (b) for LA temperature of 1300 C and 1220 C, respectively. For comparison, the chemical boron concentration profile of an implanted material (0.5 kev, 1 10 15 cm -2 ) after similar LA conditions is given in Fig. 2(c) and (d) [10]. When the number of laser scans increases, the dopant is further driven into the substrate, leading to lower R s, as shown in Fig. 3. In the case of VPD and 3 LA scans at 1300 C, a junction depth x j~12 nm (defined at a concentration of 5 10 18 cm -3 ) and an activation level of -2.1 10 20 cm -3 were obtained together with the R s value mentioned here above. The activation level was estimated from the SIMS depth profile by integrating the dopant concentration under a cutoff value. The latter one defines the activation level when the active dose obtained from the integration corresponds to the R s value, using an iterative procedure [11]. Under similar anneal conditions, the activation level of the junction fabricated from BII is ~2.3 10 20 cm -3 with R s~320 Ω/sq and x j~24 nm. With B- VPD and 3 LA scans at 1220 C, x j reduces to ~7 nm with R s of 1612 Ω/sq. Fig. 1. Sheet resistance R s as function of the exposure time to B 2 H 6 for LA temperature of 1300 C, 1250 C and 1200 C, using 3 LA scans.

Published in : Thin Solid Films (2010), vol. 518, pp. S48-S52. Fig. 2. Depth profiles of the chemical boron concentration by SIMS for (a) B-VPD and LA at 1300 C, (b) BVPD and LA at 1220 C, (c) BII 0.5 kev and LA at 1300 C and (d) BII 0.5 kev and LA at 1220 C. In each case, the as-deposited or as-implanted profile is plotted with profiles corresponding to one (1 ) or more than one (3, 7 ) laser scans. Fig. 3. Rs as function of number of LA scans at 1300 C, for B-VPD and BII 0.5 kev, 1E15 cm-2.

Published in : Thin Solid Films (2010), vol. 518, pp. S48-S52. Fig. 4. TEM image of a B-VPD structure after LA at 1300 C (3 laser scans). Fig. 5. SSRM image of a cross section around the poly-gate of a patterned wafer. A layout of the structure is given on the left hand side. The dark regions on the right hand side represent conductive parts of the sample. The use of VPD clearly results in a sharper as-deposited dopant profile and shallower junctions with a strongly reduced diffusion tail after anneal (Fig. 2). Transmission electron microscope (TEM) inspection did not show any degradation of the crystallinity of the Si substrate after B-VPD and LA at 1300 C, as shown in Fig. 4. For multiple gate transistor applications such as FinFETs, an equal overlapping of the dopant under all gates is required to guarantee homogeneous device operation. In order to investigate the lateral component of the diffusion, the B-VPD process was applied to a wafer which was prepared with a gate deposition and patterning. Fig. 5 shows a two-dimensional mapping of the cross-section by scanning spreading resistance microscopy (SSRM) where the dark regions denote conductive parts of the structure. This result indicates that boron diffused in an isotropic way during laser anneal, leading subsequently to an effective overlapping of the dopant concentration under the gate stack. The combination of VPD and LA thus enables the achievement of high quality USJs with abrupt dopant profile and high activation level which are suitable for both planar and non-planar devices. It fulfills the Rs-xj requirements for the 32 nm and 22 nm technology nodes, as shown in Fig. 6. 3.2. NMOS with arsenic doping VPD with arsenic was performed at 600 C and atmospheric pressure using N2 as carrier gas. The self-limiting

behavior of As-VPD leads to the saturation of the adsorbed dose at one atomic layer [8]. In order to avoid dopant desorption during anneal, the As-covered surface was protected by an oxide cap layer deposited ex-situ [14] before LA. The sheet resistance of As-VPD wafers as function of the LA temperature is shown in Fig. 7. At the LA temperature of 1300 C, an average R s value of 1750 Ω/sq was obtained with 10 min of exposure and 3 laser scans. The decreasing of R s when the anneal temperature increases results from the combined effect of a higher activation level and a deeper junction for higher temperatures. The difference between the R s data for the two exposure times suggest that the surface saturation by As atoms was not complete after 3 min at the deposition temperature of 600 C, although we previously showed that an As dose corresponding to 1 atomic layer can be obtained on Si surface after that duration [8]. This can be explained partially by the loss of dopants during LA through the oxide cap which does not act as a perfect sealing of the surface. In Fig. 8, the chemical As concentration in the as-deposited wafer and in the laser-annealed wafers is plotted as function of depth; exposure time to AsH 3 was 3 min. The As peak position was used to define the origin of the depth scale. The junction depth increases with the anneal temperature and a value of x j ~9 nm was achieved using 3 LA scans at 1300 C. The associated sheet resistance was R s ~3600 Ω/sq. Recently, junctions with R s ~600 Ω/sq and x j ~15 nm using BII and LA were reported [13]. Although SIMS measurements show that As atoms are effectively driven into Si during LA (Fig. 8), the relatively high value of R s for the As-VPD process indicates that only a small part of the dopant concentration is electrically active. This is confirmed by the determination of the activation level using a procedure similar to that explained in Section 3.1 for B-VPD. The results of the calculations are given in Fig. 9, which shows a comparison between three different processes : VPD with LA at 1300 C (3 ), VPD with RTA at 1050 C and a reference BII with RTA at 1035 C. The activation level for As-VPD followed by LA at 1300 C was about 1.85 10 19 cm -3. This value increased to 5 10 19 cm -3 if RTA was used instead of LA and is consistent with the lower R s of about 2200 Ω/sq for a similar junction depth (10.5 nm). Although significantly lower R s (~650 Ω/sq) was measured for the reference BII process, the related activation level of 5.6 10 19 cm -3 is comparable to that of VPD combined with RTA. The depth of the reference implanted junction after RTA was 18.7 nm. As shown in Fig. 10, the active dose values obtained for As-VPD, 1.86 10 13 cm -2 and 3.81 10 13 cm -2, respectively with LA and RTA, also confirm the weak activation of the indiffused As atoms. Although poor electrical activation of arsenic was also observed for other junction fabrication schemes, the physical root cause in the case of our As-VPD process is still unclear. On the one hand, average carrier mobility in the As-VPD structures, as determined by Hall measurements using a classical Van der Pauw configuration, is in line with the values reported for highly-doped n-type Si [15] and no extended defect could be detected by TEM (Fig. 11). On the other hand, deactivation of As during anneal through the formation of electrically inactive complexes such as dopant-vacancy clusters was reported in ion-implanted Si [16,17]. Therefore, our results suggest that the low activation level in junctions made from As-VPD could also be explained by an important electrical deactivation of the in-diffused dopant atoms. However, the exact nature of these inactive species and the microscopic mechanism of their formation have to be clarified. Fig. 6. R s as function of x j for USJs fabricated by various techniques [10,12,13], compared to this work. The dashed lines denote the R s (x j ) functions for box-like dopant profiles with activation levels of 5 10 19 cm -3 and of 1 10 20 cm -3. The R s -x j regions aimed for the 32 nm and the 22 nm technology nodes are indicated as dasheddotted boxes.

Fig. 7. R s as function of the LA temperature, for As-VPD with exposure time of 3 min or 10 min and 3 laser scans. Fig. 8. Depth profiles of the chemical arsenic concentration by SIMS in as-deposited and laser-annealed wafers. The profiles are centered with respect to the As peak position, which is taken as depth reference.

Published in : Thin Solid Films (2010), vol. 518, pp. S48-S52. Fig. 9. Activation level and sheet resistance of USJs with As doping using VPD (with LA or RTA) and BII with RTA The corresponding junction depth is labeled for each process condition. Fig. 10. Chemical dopant dose and active dopant dose of USJs with As using VPD (with LA or RTA) and BII with RTA The value of the active dose is labeled for each process condition. Fig. 11. TEM image of an As-VPD structure after LA at 1300 C (3 laser scans).

4. Conclusions We have shown that the combination of VPD and LA enables the fabrication of high quality, defect-free USJs with abrupt dopant profile. Excellent results were achieved for B-VPD and these allowed us to fulfill the requirements for both the 32 nm and the 22 nm technology nodes by a proper choice of the LA conditions. For instance, using 3 laser scans at 1300 C, we obtained an active dopant concentration of ~2.1 10 20 cm -3 and a junction depth of ~12 nm. Moreover, an isotropic diffusion of the deposited boron as a result of the laser anneal was demonstrated by SSRM, highlighting that VPD and LA enable the fabrication of conformal doping profiles in FinFET devices. In the case of As-VPD, low dopant activation level and active dose (in the range ~1-4 10 13 cm -2 ) were measured both after LA and RTA, although SIMS depth profiles indicate that As atoms are present in the substrate with a sufficient quantity. Further investigation is needed in order to clarify the origin and the nature of the electrical deactivation of the in-diffused As atoms. As an extension of this work, the combination of n-type VPD with phosphorus (P) and LA is also considered for USJ fabrication; that study is ongoing. Acknowledgements This work was partly performed within the Nanotechnology Support Project in Central Japan (Institute for Molecular Science) which is financially supported by the Nanotechnology Network of the Ministry of Education, Culture, Sports, Science and Technology (MEXT) of Japan. References [1] ITRS 2008 (http://www.itrs.net). [2] W. Vandervorst, P. Eyben, J. Mody, M. Jurczak, N.D. Nguyen, S. Takeuchi, F. Leys, R Loo, M. Caymax, J.-L. Everaert, Proceedings of the 17 th International Conference in Ion Implantation Technology, AIP Conf. Proc, vol. 1066, 2008, p. 449. [3] N.D. Nguyen, F. Leys, S. Takeuchi, R Loo, M. Caymax, P. Eyben, W. Vandervorst, The 4th International SiGe Technology and Device Meeting, Technical Digest, 2008, p. 229. [4] S.R Walther, N. Variam, S. Norasethekul, J. Weeman, S. Mehta, Proceedings of the 14th International Conference on Ion Implantation Technology, 2002, p. 103. [5] C. Ortolland, N. Horiguchi, C. Kerner, T. Chiarella, P. Eyben, J.-L Everaert, J.I. Agua Borniquel, T. Poon, K. Santhanam, P. Porshnev, MA Foad, R Schreutelkamp, P.P. Absil, W. Vandervorst, S.B. Felch, T. Hoffmann, Proceedings of the 17 th International Conference on Ion Implantation Technology, AIP Conf. Proc, vol. 1066, 2008, p. 465. [6] A. Pouydebasque, B. Dumont, S. Denorme, F. Wacquant, M. Bidaud, C. Laviron, A Halimaoui, C. Chaton, J.D. Chapon, P. Gouraud, F.

Leverd, H. Bernard, S. Warrick, D. Delille, K. Romanjek, R. Gwoziecki, N. Planes, S. Vadot, I. Pouilloux, F. Arnaud, F. Boeuf, T. Skotnicki, International Electron Devices Meeting 2005, IEDM Technical Digest, 2005, p. 679. [7] T. Noda, W. Vandervorst, S. Felch, V. Parihar, C. Vrancken, S. Severi, A. Falepin, T. Janssens, H. Bender, H. Van Daele, P. Eyben, M. Niwa, R. Schreutelkamp, F. Nouri, P.P. Absil, M. Jurczak, K. De Meyer, S. Biesemans, International Electron Devices Meeting 2006, IEDM Technical Digest 2006, p. 377. [8] S. Takeuchi, N.D. Nguyen, F. Leys, R. Loo, T. Conard, W. Vandervorst, M. Caymax, ECS Trans. 16 (2008) 495. [9] J. Murota, M. Sakuraba, B. Tillack, Jpn. J. Appl. Phys. 45 (2006) 6767. [10] E. Rosseel, W. Vandervorst, T. Clarysse, J. Goossens, A. Moussa, R. Lin, D.H. Petersen, P.F. Nielsen, O. Hansen, N.S. Bennett, N.E.B. Cowern, Proceedings of 16th IEEE International Conference on Advanced Thermal Processing of Semiconductors, 2008, p. 135. [11] T. Clarysse, J. Bogdanowicz, J. Goossens, A. Moussa, E. Rosseel, W. Vandervorst, D.H. Petersen, R Lin, P.F. Nielsen, O. Hansen, G. Merldin, N.S. Bennett, N.E.B. Cowern, Material Science and Engineering B 154-155 (2008) 24. [12] G. Zschatzsch, W. Vandervorst, T. Hoffmann, J. Goossens, J.-L. Everaert, J.I. del Agua Borniquel, T. Poon, Proceedings of the 17 th International Conference on Ion Implantation Technology, AIP Conf, Proc. 1066 (2008) 461. [13] C. Ortolland, T. Noda, T. Chiarella, S. Kubicek, C Kerner, W. Vandervorst, A Opdebeeck, C. Vrancken, N. Horiguchi, M. de Potter de ten Broeck, M. Aoulaiche, E. Rosseel, S. Felch, P. Absil, R. Schreutelkamp, S. Biesemans, T. Hoffmann, Symposium on VLSI Technology. Digest of Technical Papers, 2008, p. 186. [14] J.-L. Everaert, G. Zschatzsch, G. Vecchio, W. Vandervorst, L. Cunnane, Proceedings of 16 th IEEE International Conference on Advanced Thermal Processing of Semiconductors, 2008, p. 113. [15] D.B.M. Klaassen, Solid-state Electron. 35 (1992) 953. [16] P.M. Rousseau, P.B. Griffin, W.T. Fang, J.D. Plummer, J. Appl. Phys. 84 (1998) 3593. [17] D. Giubertoni, G. Pepponi, S. Gennaro, M. Bersani, M.A. Sahiner, S.P. Kelty, R Doherty, M.A. Foad, M. Kah, K.J. Kirkby, J.C. Woicik, P. Pianetta, J. Appl. Phys. 104 (2008) 103716.