Energy-aware scheduling for GreenIT in large-scale distributed systems

Size: px
Start display at page:

Download "Energy-aware scheduling for GreenIT in large-scale distributed systems"

Transcription

1 Energy-aware scheduling for GreenIT in large-scale distributed systems 1 PASCAL BOUVRY UNIVERSITY OF LUXEMBOURG GreenIT CORE/FNR project

2 Context and Motivation Outline 2 Problem Description Proposed Solution Performance Evaluation and Experiments Conclusions and Perspectives

3 GreenIT project The aim: to provide a holistic autonomic energyefficient solution to manage, provision, and administer the various resources within large-scale distributed systems Main research challenges: Development of meta-models To adequately define a unified performance metric of the system, system s properties, constraints and optimization criteria Develop scheduling & resource management methodologies Resulting in multi-objective multi-constraint problems Develop autonomic resource management It is planned to use MAS 3

4 Context and Motivations Energy consumption issues in distributed computing systems rises: Environmental concerns Carbon Emission Monetary issues Energy bills Cooling system acquisitions & maintenance Performance concern Reliability Efficiency/Scalability 4

5 Hardware approach Current State & Efforts Energy-efficient microprocessors Solid state drives Energy-efficient monitors Software approach Virtualization Energy-aware Scheduling & Resource Allocations 5

6 Hardware approach Current State & Efforts Energy-efficient microprocessors Solid state drives Energy-efficient monitors Software approach Virtualization Energy-aware Scheduling & Resource Allocations 6

7 Software Approach Current State & Efforts CPU throttling using DVS/DFS (DVFS) Intel SpeedStep Technology Pentium M: 1.6(1.484 V) to 0.6 GHz(0.956 V) 7 AMD Cool n Quiet technology Athlon 64: 2.4(89 W) to 0.8 GHz(32/22 W) AMD PowerNow! technology Turion 64(Lion): 2.4(35 W) to 2.0 GHz(31 W)

8 Software Approach Current State & Efforts Energy-aware scheduling and resource allocation! H%*4.I*'*6?A67 '(&)!"#$%&&#"& !#7%"-3#8%* P = CV 2 f &*($) 123% &+!!*,-.#*'(/%-"(0/% 23 Albert Y Zomaya

9 Context and Motivation Outline 9 Problem Description Proposed Solution Performance Evaluation and Experiments Conclusions and Perspectives

10 System Model Problem Description 10 Large-scale systems composed of a set M of m heterogeneous and DVFS-enabled processors that are fully interconnected The inter-processor communications are assumed to perform with the same speed on all links without contention It is also assumed that a message can be transmitted while executing tasks Table 1. Voltage-Relative Speed Pairs [7, 10] Pair 1 Pair 2 Pair 3 Pair 4 Pair 5 Pair 6 Voltage Relative Voltage Relative Voltage Relative Voltage Relative Voltage Relative Voltage Relative Level V k Speed V k Speed V k Speed V k Speed V k Speed V k Speed (%) (%) (%) (%) (%) (%)

11 Application Model Problem Description A parallel program (DAG) G = (T, E) consists of a set T of n tasks, and a set E of e edges 11 Table 2: Computation cost (p i at level L 0 ) and task priorities (b-level and t-level)! "" "( "% "" " # $ % "$ "! ") "$ #( & ' #" "$ ( task p i on m 0 p i on m 1 p i on m 2 p i b-level t-level b level(t i )=p i + max tj succ(t i ){b level(t j )+c ij },

12 Energy Model Problem Description Derived from the power consumption model in complementary metal-oxide semiconductor (CMOS) logic circuits 12 Capacitive power P C = AC ef V 22f Our Energy Model Ec = nx i=1 X AC ef V i 2 n f.p i = KV i 2 p i, i=1

13 Scheduling Model Problem Description Allocation of a set N of n tasks to a set M of m processors (without violating precedence constraints) aiming to minimize makespan with Energy consumption as low as possible 13 m 0 m 1 m 2! "" "( "% "" " # $ % "$ "! ") "$ #( & ' #" "$ ( M A P P I N G DVS-enabled procs t 3 t 1 t v 1.40v 1.20v 0.90v t 0 t 4 t 2 t 5 t 7 Supply voltage Levels

14 Proposed Solution 14 We consider the problem as a weighted multi-criteria scheduling problem on unrelated parallel machines A scheduling algorithm based on cellular GAs

15 Cellular Genetic Algorithms (cga) 15 A GA with structured population Individuals arranged on two-dimensional, toroidal mesh Locality known as isolation by distance Interaction between individuals only in neighborhoods Gives good exploration/exploitation balance, slows down the convergence

16 Toroidal Population duction to Cellular Genetic Algorithms 16 Toroidal population and most typically used neighborhoods L5 L9 C9 C13 C21 C25

17 Canonical cga Algorithm 17 Algorithm 1 Pseudo-code for a canonical CGA (asynchronous). 1: while! StopCondition() do 2: for all ind in population do 3: neigh get neighborhood(ind); 4: parents select(neigh); 5: of f spring recombine(p comb, parents); 6: mutate(p mut, of f spring); 7: evaluate(of f spring); 8: replace(ind, of f spring); 9: end for 10: end while

18 Hybrid cga (EACS) L5 neighborhood Proposed Solution Algorithm 2 Pseudo-code Hybrid CGA 1: while! StopCondition() do 2: for all ind in population do 3: neigh get neighborhood(ind); 4: parents select(neigh); 5: of f spring recombine(p comb, parents); 6: mutate(p mut, of f spring); 7: evaluate(of f spring); 8: replace(ind, of f spring); 9: local search(best of f spring); 10: elitism(replace worst of f spring); 11: end for 12: end while 18

19 Solution representation 19! "" "( "% "" " # $ % Tasks : t 0 t 1 t 2 t 3 t 4 t 5 t 6 t 7 "$ "! ") "$ #( Processors : m 0 m 2 m 0 m 0 m 1 m 2 m 1 m 2 & #" "$ ' Voltage : V 4 V 2 V 1 V 1 V 4 (

20 Genetic Operators Selection: tournament best of 2 Recombination: single point recombination 20 Parent 1 Parent 2 Recombination point Recombination point t 0 t 1 t 2 t 3 t 4 t 5 t 6 t 7 t 0 t 1 t 2 t 3 t 4 t 5 t 6 t 7 m 0 m 2 m 0 m 0 m 1 m 2 m 1 m 2 m 0 m 0 m 0 m 0 m 1 m 2 m 1 m 2 V 4 V 2 V 1 V 1 V 4 V 1 V 1 V 1 New offspring t 0 t 1 t 2 t 3 t 4 t 5 t 6 t 7 m 0 m 2 m 0 m 0 m 1 m 2 m 1 m 2 V 4 V 2 V 1

21 Genetic Operators Mutation: single point mutation 21 offspring t 0 t 1 t 2 t 3 t 4 t 5 t 6 t 7 m 0 m 2 m 0 m 0 m 1 m 2 m 1 m 2 V 4 V 2 V 1 mutation mutated offspring t 0 t 1 t 2 t 3 t 4 t 5 t 6 t 7 m 0 m 2 m 0 m 2 m 0 m 2 m 1 m 2 V 4 V 2 V 1 V 1

22 Fitness function Normalized fitness function limited to the range [0, 1] f i (x) = 22 f i (x) min f i(x) x F max f i(x) min f i(x) x F x F alues of every normalized functio

23 Local Search Iterative random local search with DVFS 23 Algorithm 2 Pseudo-code for random local search and voltage scale. 1: searchstep = 0 2: while searchstep < MAXSTEPS do 3: Pick a task t i randomly 4: Pick a processor m j randomly 5: Pick a voltage v k from the corresponding set of voltage of m j randomly 6: Assign t i on the processor m j with the operating voltage v k that minimizes both Energy and EFT of task t i or minimizes Energy without increasing EFT 7: end while DVFS Property

24 Experiment results Real application graphs from literature and Standard Task Graph set Benchmark employed in the simulations and their main characteristics 24 Application # of Tasks # of Edges fpppp Sparse matrix LIGO MDCode Set of processors {8, 16, 32} Different communication to computation ratio (CCR) 0.1, 0.5, 1, 2, 5 Performance metrics: MAKESPAN and ENERGY consumption

25 Performance Evaluation 25 HEFT without DVS HEFT + DVS Proposed Solution 0 m 0 m 1 m 2 0 P 0 P 1 P 2 0 m 0 m 1 m 2 t 0 t 0 t t 4 18 t 4 18 t t 3 t 1 t t 3 t 1 t t 1 t 2 t 4 t 6 54 t t 6 t 6 t t t t 7 81 t v 1.40v 1.20v 0.90v 1.75v 1.40v 1.20v 0.90v 1.75v 1.40v 1.20v 0.90v MKSP = 89, Energy = 380 MKSP = 89, Energy = 333 MKSP = 74, Energy = 236

26 Simulations framework 26 l l EACS compared with simple Genetic Algorithm (GAC) GAC characteristics: l Unstructured population l Tournament selection l Single point crossover l Single point mutation Simulation parameters: l P mutation = l P cross-over = 0.85 l Lambda = 0.75 l Population: 50 (10x5 grid for EACS) individuals l Stopping condition: 500 generations

27 Sparse Application 27 Problem sparse with 16 Processors Problem sparse with 16 Processors Average Makespan Average Consumed Energy EACS_0.5 GAC_0.5 EACS_1.0 GAC_1.0 EACS_2.0 GAC_2.0 EACS_5.0 GAC_5.0 EACS_0.5 GAC_0.5 EACS_1.0 GAC_1.0 EACS_2.0 GAC_2.0 EACS_5.0 GAC_5.0

28 Sparse Application 28 Problem sparse with 32 Processors Problem sparse with 32 Processors Average Makespan Average Consumed Energy EACS_0.5 GAC_0.5 EACS_1.0 GAC_1.0 EACS_2.0 GAC_2.0 EACS_5.0 GAC_5.0 EACS_0.5 GAC_0.5 EACS_1.0 GAC_1.0 EACS_2.0 GAC_2.0 EACS_5.0 GAC_5.0

29 Comparison against List Sched. Alg. HEFT: List based scheduling algorithm for heterogeneous machines Same benchmark 29 Aggregated average results

30 Results regarding Processors 30 Table 2. Improvement according to the number of processors Processors Makespan (%) Energy (%)

31 Results according CCR 31 Table 3. Improvement according to the CCR CCR Makespan (%) Energy (%)

32 Results Experiments Average Makespan and Energy for fpppp334 DAGs 32 LIGO76 application LIGO76 application Avg makespan (sec.) Avg Energy (milli-joules) HEFT CCR EACS HEFT CCR EACS

33 Conclusions and Perspective We have investigated the energy efficiency and scheduling problem on scalable computing systems We proposed an evolutionary algorithm Based on a cga + Local search Combined iterative random local search with DVS technique to minimize energy consumption without makespan degradation The proposed solution outperforms related approaches in terms of energy and the completion time 33

34 Conclusions and Perspectives (cont ) Future work include to investigate the proposed approach on applications with arbitrary structure and large number of tasks We plan to validate the approach by using greencloud.gforge.uni.lu and real environments 34

35 Questions? Thank you for your attention! 35

Minimization of Energy Loss using Integrated Evolutionary Approaches

Minimization of Energy Loss using Integrated Evolutionary Approaches Minimization of Energy Loss using Integrated Evolutionary Approaches Attia A. El-Fergany, Member, IEEE, Mahdi El-Arini, Senior Member, IEEE Paper Number: 1569614661 Presentation's Outline Aim of this work,

More information

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems Jian-Jia Chen *, Chuan Yue Yang, Tei-Wei Kuo, and Chi-Sheng Shih Embedded Systems and Wireless Networking Lab. Department of Computer

More information

Thermal Scheduling SImulator for Chip Multiprocessors

Thermal Scheduling SImulator for Chip Multiprocessors TSIC: Thermal Scheduling SImulator for Chip Multiprocessors Kyriakos Stavrou Pedro Trancoso CASPER group Department of Computer Science University Of Cyprus The CASPER group: Computer Architecture System

More information

Lecture 2: Metrics to Evaluate Systems

Lecture 2: Metrics to Evaluate Systems Lecture 2: Metrics to Evaluate Systems Topics: Metrics: power, reliability, cost, benchmark suites, performance equation, summarizing performance with AM, GM, HM Sign up for the class mailing list! Video

More information

Lecture 2: CMOS technology. Energy-aware computing

Lecture 2: CMOS technology. Energy-aware computing Energy-Aware Computing Lecture 2: CMOS technology Basic components Transistors Two types: NMOS, PMOS Wires (interconnect) Transistors as switches Gate Drain Source NMOS: When G is @ logic 1 (actually over

More information

STATISTICAL PERFORMANCE

STATISTICAL PERFORMANCE STATISTICAL PERFORMANCE PROVISIONING AND ENERGY EFFICIENCY IN DISTRIBUTED COMPUTING SYSTEMS Nikzad Babaii Rizvandi 1 Supervisors: Prof.Albert Y.Zomaya Prof. Aruna Seneviratne OUTLINE Introduction Background

More information

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay

SP-CNN: A Scalable and Programmable CNN-based Accelerator. Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay SP-CNN: A Scalable and Programmable CNN-based Accelerator Dilan Manatunga Dr. Hyesoon Kim Dr. Saibal Mukhopadhyay Motivation Power is a first-order design constraint, especially for embedded devices. Certain

More information

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING

ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING ENERGY EFFICIENT TASK SCHEDULING OF SEND- RECEIVE TASK GRAPHS ON DISTRIBUTED MULTI- CORE PROCESSORS WITH SOFTWARE CONTROLLED DYNAMIC VOLTAGE SCALING Abhishek Mishra and Anil Kumar Tripathi Department of

More information

Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems

Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems Vishnu Swaminathan and Krishnendu Chakrabarty Department of Electrical & Computer Engineering Duke University Durham,

More information

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints

Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Energy-efficient Mapping of Big Data Workflows under Deadline Constraints Presenter: Tong Shu Authors: Tong Shu and Prof. Chase Q. Wu Big Data Center Department of Computer Science New Jersey Institute

More information

Implications on the Design

Implications on the Design Implications on the Design Ramon Canal NCD Master MIRI NCD Master MIRI 1 VLSI Basics Resistance: Capacity: Agenda Energy Consumption Static Dynamic Thermal maps Voltage Scaling Metrics NCD Master MIRI

More information

FIT2009( 第 8 回情報科学技術フォーラム ) 12.8% A Selection Algorithm of Tasks for Applying DVS toward a Power-aware Task Scheduling

FIT2009( 第 8 回情報科学技術フォーラム ) 12.8% A Selection Algorithm of Tasks for Applying DVS toward a Power-aware Task Scheduling FIT09( 第 8 回情報科学技術フォーラム ) RC-007 1 2 1 4 9.0% 8 12.8% A Selection Algorithm of Tasks for Applying toward a Power-aware Task Scheduling YUICHIRO MORI, 1 KOICHI ASAKURA 2 and TOYOHIDE WATANABE 1 Although

More information

Andrew Morton University of Waterloo Canada

Andrew Morton University of Waterloo Canada EDF Feasibility and Hardware Accelerators Andrew Morton University of Waterloo Canada Outline 1) Introduction and motivation 2) Review of EDF and feasibility analysis 3) Hardware accelerators and scheduling

More information

Resilient and energy-aware algorithms

Resilient and energy-aware algorithms Resilient and energy-aware algorithms Anne Benoit ENS Lyon Anne.Benoit@ens-lyon.fr http://graal.ens-lyon.fr/~abenoit CR02-2016/2017 Anne.Benoit@ens-lyon.fr CR02 Resilient and energy-aware algorithms 1/

More information

Energy-efficient scheduling

Energy-efficient scheduling Energy-efficient scheduling Guillaume Aupy 1, Anne Benoit 1,2, Paul Renaud-Goud 1 and Yves Robert 1,2,3 1. Ecole Normale Supérieure de Lyon, France 2. Institut Universitaire de France 3. University of

More information

Model Order Reduction via Matlab Parallel Computing Toolbox. Istanbul Technical University

Model Order Reduction via Matlab Parallel Computing Toolbox. Istanbul Technical University Model Order Reduction via Matlab Parallel Computing Toolbox E. Fatih Yetkin & Hasan Dağ Istanbul Technical University Computational Science & Engineering Department September 21, 2009 E. Fatih Yetkin (Istanbul

More information

Evolutionary computation

Evolutionary computation Evolutionary computation Andrea Roli andrea.roli@unibo.it DEIS Alma Mater Studiorum Università di Bologna Evolutionary computation p. 1 Evolutionary Computation Evolutionary computation p. 2 Evolutionary

More information

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 12: Energy and Power. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 12: Energy and Power James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L12 S1, James C. Hoe, CMU/ECE/CALCM, 2018 Housekeeping Your goal today a working understanding of

More information

Schedule length minimization of parallel applications with energy consumption constraints using heuristics on heterogeneous distributed systems

Schedule length minimization of parallel applications with energy consumption constraints using heuristics on heterogeneous distributed systems Received: 2 May 2016 Revised: 17 August 2016 Accepted: 23 September 2016 DOI 10.1002/cpe.4024 SPECIAL ISSUE PAPER Schedule length minimization of parallel applications with energy consumption constraints

More information

Low power Architectures. Lecture #1:Introduction

Low power Architectures. Lecture #1:Introduction Low power Architectures Lecture #1:Introduction Dr. Avi Mendelson mendlson@ee.technion.ac.il Contributors: Ronny Ronen, Eli Savransky, Shekhar Borkar, Fred PollackP Technion, EE department Dr. Avi Mendelson,

More information

Evolutionary Computation. DEIS-Cesena Alma Mater Studiorum Università di Bologna Cesena (Italia)

Evolutionary Computation. DEIS-Cesena Alma Mater Studiorum Università di Bologna Cesena (Italia) Evolutionary Computation DEIS-Cesena Alma Mater Studiorum Università di Bologna Cesena (Italia) andrea.roli@unibo.it Evolutionary Computation Inspiring principle: theory of natural selection Species face

More information

Amdahl's Law. Execution time new = ((1 f) + f/s) Execution time. S. Then:

Amdahl's Law. Execution time new = ((1 f) + f/s) Execution time. S. Then: Amdahl's Law Useful for evaluating the impact of a change. (A general observation.) Insight: Improving a feature cannot improve performance beyond the use of the feature Suppose we introduce a particular

More information

THE ongoing progress in semiconductor technology has

THE ongoing progress in semiconductor technology has 1068 IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 13, NO. 3, JUNE 2017 Minimizing Energy Consumption of Real-Time Parallel Applications Using Downward and Upward Approaches on Heterogeneous Systems

More information

Saving Energy in Sparse and Dense Linear Algebra Computations

Saving Energy in Sparse and Dense Linear Algebra Computations Saving Energy in Sparse and Dense Linear Algebra Computations P. Alonso, M. F. Dolz, F. Igual, R. Mayo, E. S. Quintana-Ortí, V. Roca Univ. Politécnica Univ. Jaume I The Univ. of Texas de Valencia, Spain

More information

Performance Metrics & Architectural Adaptivity. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance Metrics & Architectural Adaptivity. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance Metrics & Architectural Adaptivity ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So What are the Options? Power Consumption Activity factor (amount of circuit switching) Load Capacitance (size

More information

Title: Maximizing Reliability of Energy Constrained Parallel Applications on Heterogeneous Distributed Systems

Title: Maximizing Reliability of Energy Constrained Parallel Applications on Heterogeneous Distributed Systems Title: Maximizing Reliability of Energy Constrained Parallel Applications on Heterogeneous Distributed Systems Author: Xiongren Xiao Guoqi Xie Cheng Xu Chunnian Fan Renfa Li Keqin Li PII: S1877-7503(17)30493-3

More information

Tradeoff between Reliability and Power Management

Tradeoff between Reliability and Power Management Tradeoff between Reliability and Power Management 9/1/2005 FORGE Lee, Kyoungwoo Contents 1. Overview of relationship between reliability and power management 2. Dakai Zhu, Rami Melhem and Daniel Moss e,

More information

Algorithm-Hardware Co-Optimization of Memristor-Based Framework for Solving SOCP and Homogeneous QCQP Problems

Algorithm-Hardware Co-Optimization of Memristor-Based Framework for Solving SOCP and Homogeneous QCQP Problems L.C.Smith College of Engineering and Computer Science Algorithm-Hardware Co-Optimization of Memristor-Based Framework for Solving SOCP and Homogeneous QCQP Problems Ao Ren Sijia Liu Ruizhe Cai Wujie Wen

More information

Energy-efficient Scheduling Algorithms for Real-time Parallel Applications on Heterogeneous Distributed Embedded Systems

Energy-efficient Scheduling Algorithms for Real-time Parallel Applications on Heterogeneous Distributed Embedded Systems Transactions on Parallel and Distributed Systems 1 Energy-efficient Scheduling Algorithms for Real-time Parallel Applications on Heterogeneous Distributed Embedded Systems Guoqi Xie, Member, IEEE, Gang

More information

Parameter estimation using simulated annealing for S- system models of biochemical networks. Orland Gonzalez

Parameter estimation using simulated annealing for S- system models of biochemical networks. Orland Gonzalez Parameter estimation using simulated annealing for S- system models of biochemical networks Orland Gonzalez Outline S-systems quick review Definition of the problem Simulated annealing Perturbation function

More information

Metaheuristics and Local Search

Metaheuristics and Local Search Metaheuristics and Local Search 8000 Discrete optimization problems Variables x 1,..., x n. Variable domains D 1,..., D n, with D j Z. Constraints C 1,..., C m, with C i D 1 D n. Objective function f :

More information

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors

Technical Report GIT-CERCS. Thermal Field Management for Many-core Processors Technical Report GIT-CERCS Thermal Field Management for Many-core Processors Minki Cho, Nikhil Sathe, Sudhakar Yalamanchili and Saibal Mukhopadhyay School of Electrical and Computer Engineering Georgia

More information

Centric Selection: a Way to Tune the Exploration/Exploitation Trade-off

Centric Selection: a Way to Tune the Exploration/Exploitation Trade-off : a Way to Tune the Exploration/Exploitation Trade-off David Simoncini, Sébastien Verel, Philippe Collard, Manuel Clergue Laboratory I3S University of Nice-Sophia Antipolis / CNRS France Montreal, July

More information

Metaheuristics and Local Search. Discrete optimization problems. Solution approaches

Metaheuristics and Local Search. Discrete optimization problems. Solution approaches Discrete Mathematics for Bioinformatics WS 07/08, G. W. Klau, 31. Januar 2008, 11:55 1 Metaheuristics and Local Search Discrete optimization problems Variables x 1,...,x n. Variable domains D 1,...,D n,

More information

Green Destiny and its Evolving Parts

Green Destiny and its Evolving Parts Green Destiny and its Evolving Parts Wu-chun Feng and Chung-hsing Hsu P.O. Box 1663, M.S. D451; Los Alamos National Laboratory; Los Alamos, NM 87545 E-mail: feng@lanl.gov, Phone: +1-505-665-2730, Fax:

More information

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory

L16: Power Dissipation in Digital Systems. L16: Spring 2007 Introductory Digital Systems Laboratory L16: Power Dissipation in Digital Systems 1 Problem #1: Power Dissipation/Heat Power (Watts) 100000 10000 1000 100 10 1 0.1 4004 80088080 8085 808686 386 486 Pentium proc 18KW 5KW 1.5KW 500W 1971 1974

More information

S0214 : GPU Based Stacking Sequence Generation For Composite Skins Using GA

S0214 : GPU Based Stacking Sequence Generation For Composite Skins Using GA S0214 : GPU Based Stacking Sequence Generation For Composite Skins Using GA Date: 16th May 2012 Wed, 3pm to 3.25pm(Adv. Session) Sathyanarayana K., Manish Banga, and Ravi Kumar G. V. V. Engineering Services,

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Jan. 17 th : Homework 1 release (due on Jan.

More information

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS

AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS Progress In Electromagnetics Research M, Vol. 23, 53 63, 2012 AN INDEPENDENT LOOPS SEARCH ALGORITHM FOR SOLVING INDUCTIVE PEEC LARGE PROBLEMS T.-S. Nguyen *, J.-M. Guichon, O. Chadebec, G. Meunier, and

More information

Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems

Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems Efficient Power Management Schemes for Dual-Processor Fault-Tolerant Systems Yifeng Guo, Dakai Zhu The University of Texas at San Antonio Hakan Aydin George Mason University Outline Background and Motivation

More information

Generalization of Dominance Relation-Based Replacement Rules for Memetic EMO Algorithms

Generalization of Dominance Relation-Based Replacement Rules for Memetic EMO Algorithms Generalization of Dominance Relation-Based Replacement Rules for Memetic EMO Algorithms Tadahiko Murata 1, Shiori Kaige 2, and Hisao Ishibuchi 2 1 Department of Informatics, Kansai University 2-1-1 Ryozenji-cho,

More information

EDF Feasibility and Hardware Accelerators

EDF Feasibility and Hardware Accelerators EDF Feasibility and Hardware Accelerators Andrew Morton University of Waterloo, Waterloo, Canada, arrmorton@uwaterloo.ca Wayne M. Loucks University of Waterloo, Waterloo, Canada, wmloucks@pads.uwaterloo.ca

More information

Genetic algorithms for hybrid job-shop problems with minimizing the makespan and mean flow time

Genetic algorithms for hybrid job-shop problems with minimizing the makespan and mean flow time Genetic algorithms for hybrid job-shop problems with minimizing the makespan and mean flow time Omid Gholami Islamic Azad University - Mahmudabad center, Nour Branch, Mahmudabad, Iran. email: gholami@iaumah.ac.ir

More information

Metaheuristic algorithms for identification of the convection velocity in the convection-diffusion transport model

Metaheuristic algorithms for identification of the convection velocity in the convection-diffusion transport model Metaheuristic algorithms for identification of the convection velocity in the convection-diffusion transport model A V Tsyganov 1, Yu V Tsyganova 2, A N Kuvshinova 1 and H R Tapia Garza 1 1 Department

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati

CSE140L: Components and Design Techniques for Digital Systems Lab. Power Consumption in Digital Circuits. Pietro Mercati CSE140L: Components and Design Techniques for Digital Systems Lab Power Consumption in Digital Circuits Pietro Mercati 1 About the final Friday 09/02 at 11.30am in WLH2204 ~2hrs exam including (but not

More information

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden

Embedded Systems Design: Optimization Challenges. Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden of /4 4 Embedded Systems Design: Optimization Challenges Paul Pop Embedded Systems Lab (ESLAB) Linköping University, Sweden Outline! Embedded systems " Example area: automotive electronics " Embedded systems

More information

Segment-Fixed Priority Scheduling for Self-Suspending Real-Time Tasks

Segment-Fixed Priority Scheduling for Self-Suspending Real-Time Tasks Segment-Fixed Priority Scheduling for Self-Suspending Real-Time Tasks Junsung Kim, Björn Andersson, Dionisio de Niz, and Raj Rajkumar Carnegie Mellon University 2/31 Motion Planning on Self-driving Parallel

More information

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors

Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Reliability-aware Thermal Management for Hard Real-time Applications on Multi-core Processors Vinay Hanumaiah Electrical Engineering Department Arizona State University, Tempe, USA Email: vinayh@asu.edu

More information

Benchmarking Projection-Based Real Coded Genetic Algorithm on BBOB-2013 Noiseless Function Testbed

Benchmarking Projection-Based Real Coded Genetic Algorithm on BBOB-2013 Noiseless Function Testbed Benchmarking Projection-Based Real Coded Genetic Algorithm on BBOB-2013 Noiseless Function Testbed Babatunde Sawyerr 1 Aderemi Adewumi 2 Montaz Ali 3 1 University of Lagos, Lagos, Nigeria 2 University

More information

Online Scheduling Switch for Maintaining Data Freshness in Flexible Real-Time Systems

Online Scheduling Switch for Maintaining Data Freshness in Flexible Real-Time Systems Online Scheduling Switch for Maintaining Data Freshness in Flexible Real-Time Systems Song Han 1 Deji Chen 2 Ming Xiong 3 Aloysius K. Mok 1 1 The University of Texas at Austin 2 Emerson Process Management

More information

Review for the Midterm Exam

Review for the Midterm Exam Review for the Midterm Exam 1 Three Questions of the Computational Science Prelim scaled speedup network topologies work stealing 2 The in-class Spring 2012 Midterm Exam pleasingly parallel computations

More information

Parallel Asynchronous Hybrid Krylov Methods for Minimization of Energy Consumption. Langshi CHEN 1,2,3 Supervised by Serge PETITON 2

Parallel Asynchronous Hybrid Krylov Methods for Minimization of Energy Consumption. Langshi CHEN 1,2,3 Supervised by Serge PETITON 2 1 / 23 Parallel Asynchronous Hybrid Krylov Methods for Minimization of Energy Consumption Langshi CHEN 1,2,3 Supervised by Serge PETITON 2 Maison de la Simulation Lille 1 University CNRS March 18, 2013

More information

Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems 1

Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems 1 Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems 1 Vishnu Swaminathan and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University 130 Hudson

More information

AUTOMOBILES are important ground-mobility vehicles

AUTOMOBILES are important ground-mobility vehicles IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, VOL. 14, NO. 12, DECEMBER 2018 5447 Reliability Enhancement Toward Functional Safety Goal Assurance in Energy-Aware Automotive Cyber-Physical Systems Guoqi

More information

CIS 371 Computer Organization and Design

CIS 371 Computer Organization and Design CIS 371 Computer Organization and Design Unit 13: Power & Energy Slides developed by Milo Mar0n & Amir Roth at the University of Pennsylvania with sources that included University of Wisconsin slides by

More information

Accelerating linear algebra computations with hybrid GPU-multicore systems.

Accelerating linear algebra computations with hybrid GPU-multicore systems. Accelerating linear algebra computations with hybrid GPU-multicore systems. Marc Baboulin INRIA/Université Paris-Sud joint work with Jack Dongarra (University of Tennessee and Oak Ridge National Laboratory)

More information

Circuit Modeling for Practical Many-core Architecture Design Exploration

Circuit Modeling for Practical Many-core Architecture Design Exploration Circuit Modeling for Practical Many-core Architecture Design Exploration Redefining design abstractions Dean Truong Bevan Baas VLSI Computation Lab University of California, Davis Outline Motivation Circuit

More information

Research Article A Novel Differential Evolution Invasive Weed Optimization Algorithm for Solving Nonlinear Equations Systems

Research Article A Novel Differential Evolution Invasive Weed Optimization Algorithm for Solving Nonlinear Equations Systems Journal of Applied Mathematics Volume 2013, Article ID 757391, 18 pages http://dx.doi.org/10.1155/2013/757391 Research Article A Novel Differential Evolution Invasive Weed Optimization for Solving Nonlinear

More information

Energy-aware checkpointing of divisible tasks with soft or hard deadlines

Energy-aware checkpointing of divisible tasks with soft or hard deadlines Energy-aware checkpointing of divisible tasks with soft or hard deadlines Guillaume Aupy 1, Anne Benoit 1,2, Rami Melhem 3, Paul Renaud-Goud 1 and Yves Robert 1,2,4 1. Ecole Normale Supérieure de Lyon,

More information

Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors

Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors Slack Reclamation for Real-Time Task Scheduling over Dynamic Voltage Scaling Multiprocessors Jian-Jia Chen, Chuan-Yue Yang, and Tei-Wei Kuo Department of Computer Science and Information Engineering Graduate

More information

Parallelization of Multilevel Preconditioners Constructed from Inverse-Based ILUs on Shared-Memory Multiprocessors

Parallelization of Multilevel Preconditioners Constructed from Inverse-Based ILUs on Shared-Memory Multiprocessors Parallelization of Multilevel Preconditioners Constructed from Inverse-Based ILUs on Shared-Memory Multiprocessors J.I. Aliaga 1 M. Bollhöfer 2 A.F. Martín 1 E.S. Quintana-Ortí 1 1 Deparment of Computer

More information

Temperature-Aware Analysis and Scheduling

Temperature-Aware Analysis and Scheduling Temperature-Aware Analysis and Scheduling Lothar Thiele, Pratyush Kumar Overview! Introduction! Power and Temperature Models! Analysis Real-time Analysis Worst-case Temperature Analysis! Scheduling Stop-and-go

More information

An Effective Chromosome Representation for Evolving Flexible Job Shop Schedules

An Effective Chromosome Representation for Evolving Flexible Job Shop Schedules An Effective Chromosome Representation for Evolving Flexible Job Shop Schedules Joc Cing Tay and Djoko Wibowo Intelligent Systems Lab Nanyang Technological University asjctay@ntuedusg Abstract As the Flexible

More information

THE LINEAR SWITCHING STATE SPACE: A NEW MODELING PARADIGM FOR TASK SCHEDULING PROBLEMS. Hamid Tabatabaee-Yazdi and Mohammad-R. Akbarzadeh-T.

THE LINEAR SWITCHING STATE SPACE: A NEW MODELING PARADIGM FOR TASK SCHEDULING PROBLEMS. Hamid Tabatabaee-Yazdi and Mohammad-R. Akbarzadeh-T. International Journal of Innovative Computing, Information and Control ICIC International c 203 ISSN 349-498 Volume 9, Number 4, April 203 pp 65 677 THE LINEAR SWITCHING STATE SPACE: A NEW MODELING PARADIGM

More information

Lecture 6 - LANDAUER: Computing with uncertainty

Lecture 6 - LANDAUER: Computing with uncertainty Lecture 6 - LANDAUER: Computing with uncertainty Igor Neri - NiPS Laboratory, University of Perugia July 18, 2014!! NiPS Summer School 2014 ICT-Energy: Energy management at micro and nanoscales for future

More information

Hybrid static/dynamic scheduling for already optimized dense matrix factorization. Joint Laboratory for Petascale Computing, INRIA-UIUC

Hybrid static/dynamic scheduling for already optimized dense matrix factorization. Joint Laboratory for Petascale Computing, INRIA-UIUC Hybrid static/dynamic scheduling for already optimized dense matrix factorization Simplice Donfack, Laura Grigori, INRIA, France Bill Gropp, Vivek Kale UIUC, USA Joint Laboratory for Petascale Computing,

More information

Online Work Maximization under a Peak Temperature Constraint

Online Work Maximization under a Peak Temperature Constraint Online Work Maximization under a Peak Temperature Constraint Thidapat Chantem Department of CSE University of Notre Dame Notre Dame, IN 46556 tchantem@nd.edu X. Sharon Hu Department of CSE University of

More information

Spatio-Temporal Thermal-Aware Scheduling for Homogeneous High-Performance Computing Datacenters

Spatio-Temporal Thermal-Aware Scheduling for Homogeneous High-Performance Computing Datacenters Spatio-Temporal Thermal-Aware Scheduling for Homogeneous High-Performance Computing Datacenters Hongyang Sun a,, Patricia Stolf b, Jean-Marc Pierson b a Ecole Normale Superieure de Lyon & INRIA, France

More information

SPARSE SOLVERS POISSON EQUATION. Margreet Nool. November 9, 2015 FOR THE. CWI, Multiscale Dynamics

SPARSE SOLVERS POISSON EQUATION. Margreet Nool. November 9, 2015 FOR THE. CWI, Multiscale Dynamics SPARSE SOLVERS FOR THE POISSON EQUATION Margreet Nool CWI, Multiscale Dynamics November 9, 2015 OUTLINE OF THIS TALK 1 FISHPACK, LAPACK, PARDISO 2 SYSTEM OVERVIEW OF CARTESIUS 3 POISSON EQUATION 4 SOLVERS

More information

GENETIC ALGORITHM FOR CELL DESIGN UNDER SINGLE AND MULTIPLE PERIODS

GENETIC ALGORITHM FOR CELL DESIGN UNDER SINGLE AND MULTIPLE PERIODS GENETIC ALGORITHM FOR CELL DESIGN UNDER SINGLE AND MULTIPLE PERIODS A genetic algorithm is a random search technique for global optimisation in a complex search space. It was originally inspired by an

More information

- Part 4 - Multicore and Manycore Technology: Chances and Challenges. Vincent Heuveline

- Part 4 - Multicore and Manycore Technology: Chances and Challenges. Vincent Heuveline - Part 4 - Multicore and Manycore Technology: Chances and Challenges Vincent Heuveline 1 Numerical Simulation of Tropical Cyclones Goal oriented adaptivity for tropical cyclones ~10⁴km ~1500km ~100km 2

More information

Energy-Efficient Speed Scheduling for Real-Time Tasks under Thermal Constraints

Energy-Efficient Speed Scheduling for Real-Time Tasks under Thermal Constraints Energy-Efficient Speed Scheduling for Real-Time Tasks under Thermal Constraints Shengquan Wang, Jian-Jia Chen, Zhenjun Shi, and Lothar Thiele Abstract Thermal constraints have limited the performance improvement

More information

Failure Tolerance of Multicore Real-Time Systems scheduled by a Pfair Algorithm

Failure Tolerance of Multicore Real-Time Systems scheduled by a Pfair Algorithm Failure Tolerance of Multicore Real-Time Systems scheduled by a Pfair Algorithm Yves MOUAFO Supervisors A. CHOQUET-GENIET, G. LARGETEAU-SKAPIN OUTLINES 2 1. Context and Problematic 2. State of the art

More information

Scalable and Energy-efficient Scheduling Techniques for Large-scale Systems

Scalable and Energy-efficient Scheduling Techniques for Large-scale Systems Scalable and Energy-efficient Scheduling Techniques for Large-scale Systems Cesar O. Diaz, Mateusz Guzek, Johnatan E. Pecero, Pascal Bouvry Computer Science and Communications Research Unit University

More information

A Novel Multiobjective Formulation of the Robust Software Project Scheduling Problem

A Novel Multiobjective Formulation of the Robust Software Project Scheduling Problem A Novel Multiobjective Formulation of the Robust Problem Francisco Chicano, Alejandro Cervantes, Francisco Luna, Gustavo Recio 1 / 30 Software projects usually involve many people and many resources that

More information

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II CSE241 VLSI Digital Circuits Winter 2003 Lecture 07: Timing II CSE241 L3 ASICs.1 Delay Calculation Cell Fall Cap\Tr 0.05 0.2 0.5 0.01 0.02 0.16 0.30 0.5 2.0 0.04 0.32 0.178 0.08 0.64 0.60 1.20 0.1ns 0.147ns

More information

Supplementary Material for Energy-Efficient Task Scheduling on Multiple Heterogeneous Computers: Algorithms, Analysis, and Performance Evaluation

Supplementary Material for Energy-Efficient Task Scheduling on Multiple Heterogeneous Computers: Algorithms, Analysis, and Performance Evaluation IEEE TRANSACTIONS ON SUSTAINABLE COMPUTING, VOL. XX, NO. YY, MONTH 2016 1 Supplementary Material for Energy-Efficient Task Scheduling on Multiple Heterogeneous Computers: Algorithms, Analysis, and Performance

More information

Parallelism in Structured Newton Computations

Parallelism in Structured Newton Computations Parallelism in Structured Newton Computations Thomas F Coleman and Wei u Department of Combinatorics and Optimization University of Waterloo Waterloo, Ontario, Canada N2L 3G1 E-mail: tfcoleman@uwaterlooca

More information

Scheduling Lecture 1: Scheduling on One Machine

Scheduling Lecture 1: Scheduling on One Machine Scheduling Lecture 1: Scheduling on One Machine Loris Marchal 1 Generalities 1.1 Definition of scheduling allocation of limited resources to activities over time activities: tasks in computer environment,

More information

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So

Performance, Power & Energy. ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Performance, Power & Energy ELEC8106/ELEC6102 Spring 2010 Hayden Kwok-Hay So Recall: Goal of this class Performance Reconfiguration Power/ Energy H. So, Sp10 Lecture 3 - ELEC8106/6102 2 PERFORMANCE EVALUATION

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Instructor: Mohsen Imani. Slides from Tajana Simunic Rosing

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Instructor: Mohsen Imani. Slides from Tajana Simunic Rosing CSE140L: Components and Design Techniques for Digital Systems Lab FSMs Instructor: Mohsen Imani Slides from Tajana Simunic Rosing Source: Vahid, Katz 1 FSM design example Moore vs. Mealy Remove one 1 from

More information

Estimation-of-Distribution Algorithms. Discrete Domain.

Estimation-of-Distribution Algorithms. Discrete Domain. Estimation-of-Distribution Algorithms. Discrete Domain. Petr Pošík Introduction to EDAs 2 Genetic Algorithms and Epistasis.....................................................................................

More information

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints

Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints Proactive Speed Scheduling for Real-Time Tasks under Thermal Constraints Jian-Jia Chen Computer Engineering and Networks Laboratory (TIK) ETH Zurich, Switzerland jchen@tik.ee.ethz.ch Shengquan Wang Department

More information

Saving Energy in the LU Factorization with Partial Pivoting on Multi-Core Processors

Saving Energy in the LU Factorization with Partial Pivoting on Multi-Core Processors 20th Euromicro International Conference on Parallel, Distributed and Network-Based Special Session on Energy-aware Systems Saving Energy in the on Multi-Core Processors Pedro Alonso 1, Manuel F. Dolz 2,

More information

Optimal Integration of Inter-Task and Intra-Task Dynamic Voltage Scaling Techniques for Hard Real-Time Applications

Optimal Integration of Inter-Task and Intra-Task Dynamic Voltage Scaling Techniques for Hard Real-Time Applications Optimal Integration of Inter-Task and Intra-Task Dynamic Voltage Scaling Techniques for Hard Real-Time Applications Jaewon Seo KAIST, Daejeon, KOREA jwseo@jupiter.kaist.ac.kr Taewhan Kim Seoul National

More information

Zebo Peng Embedded Systems Laboratory IDA, Linköping University

Zebo Peng Embedded Systems Laboratory IDA, Linköping University TDTS 01 Lecture 8 Optimization Heuristics for Synthesis Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 8 Optimization problems Heuristic techniques Simulated annealing Genetic

More information

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems

Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems Power-Aware Scheduling of Conditional Task Graphs in Real-Time Multiprocessor Systems Dongkun Shin School of Computer Science and Engineering Seoul National University sdk@davinci.snu.ac.kr Jihong Kim

More information

MICROPROCESSOR REPORT. THE INSIDER S GUIDE TO MICROPROCESSOR HARDWARE

MICROPROCESSOR REPORT.   THE INSIDER S GUIDE TO MICROPROCESSOR HARDWARE MICROPROCESSOR www.mpronline.com REPORT THE INSIDER S GUIDE TO MICROPROCESSOR HARDWARE ENERGY COROLLARIES TO AMDAHL S LAW Analyzing the Interactions Between Parallel Execution and Energy Consumption By

More information

Real-Time Systems. Event-Driven Scheduling

Real-Time Systems. Event-Driven Scheduling Real-Time Systems Event-Driven Scheduling Marcus Völp, Hermann Härtig WS 2013/14 Outline mostly following Jane Liu, Real-Time Systems Principles Scheduling EDF and LST as dynamic scheduling methods Fixed

More information

Parametrized Genetic Algorithms for NP-hard problems on Data Envelopment Analysis

Parametrized Genetic Algorithms for NP-hard problems on Data Envelopment Analysis Parametrized Genetic Algorithms for NP-hard problems on Data Envelopment Analysis Juan Aparicio 1 Domingo Giménez 2 Martín González 1 José J. López-Espín 1 Jesús T. Pastor 1 1 Miguel Hernández University,

More information

P C max. NP-complete from partition. Example j p j What is the makespan on 2 machines? 3 machines? 4 machines?

P C max. NP-complete from partition. Example j p j What is the makespan on 2 machines? 3 machines? 4 machines? Multiple Machines Model Multiple Available resources people time slots queues networks of computers Now concerned with both allocation to a machine and ordering on that machine. P C max NP-complete from

More information

Evolving more efficient digital circuits by allowing circuit layout evolution and multi-objective fitness

Evolving more efficient digital circuits by allowing circuit layout evolution and multi-objective fitness Evolving more efficient digital circuits by allowing circuit layout evolution and multi-objective fitness Tatiana Kalganova Julian Miller School of Computing School of Computing Napier University Napier

More information

Scheduling algorithms for heterogeneous and failure-prone platforms

Scheduling algorithms for heterogeneous and failure-prone platforms Scheduling algorithms for heterogeneous and failure-prone platforms Yves Robert Ecole Normale Supérieure de Lyon & Institut Universitaire de France http://graal.ens-lyon.fr/~yrobert Joint work with Olivier

More information

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators

CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators CycleTandem: Energy-Saving Scheduling for Real-Time Systems with Hardware Accelerators Sandeep D souza and Ragunathan (Raj) Rajkumar Carnegie Mellon University High (Energy) Cost of Accelerators Modern-day

More information

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University

Che-Wei Chang Department of Computer Science and Information Engineering, Chang Gung University Che-Wei Chang chewei@mail.cgu.edu.tw Department of Computer Science and Information Engineering, Chang Gung University } 2017/11/15 Midterm } 2017/11/22 Final Project Announcement 2 1. Introduction 2.

More information

A Novel Software Solution for Localized Thermal Problems

A Novel Software Solution for Localized Thermal Problems A Novel Software Solution for Localized Thermal Problems Sung Woo Chung 1,* and Kevin Skadron 2 1 Division of Computer and Communication Engineering, Korea University, Seoul 136-713, Korea swchung@korea.ac.kr

More information

Comparison between cut and try approach and automated optimization procedure when modelling the medium-voltage insulator

Comparison between cut and try approach and automated optimization procedure when modelling the medium-voltage insulator Proceedings of the 2nd IASME / WSEAS International Conference on Energy & Environment (EE'7), Portoroz, Slovenia, May 15-17, 27 118 Comparison between cut and try approach and automated optimization procedure

More information

Reclaiming the energy of a schedule: models and algorithms

Reclaiming the energy of a schedule: models and algorithms Author manuscript, published in "Concurrency and Computation: Practice and Experience 25 (2013) 1505-1523" DOI : 10.1002/cpe.2889 CONCURRENCY AND COMPUTATION: PRACTICE AND EXPERIENCE Concurrency Computat.:

More information

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm

Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Toward More Accurate Scaling Estimates of CMOS Circuits from 180 nm to 22 nm Aaron Stillmaker, Zhibin Xiao, and Bevan Baas VLSI Computation Lab Department of Electrical and Computer Engineering University

More information

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata

Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Serial Parallel Multiplier Design in Quantum-dot Cellular Automata Heumpil Cho and Earl E. Swartzlander, Jr. Application Specific Processor Group Department of Electrical and Computer Engineering The University

More information