Branka Jokanović HARDVERSKE REALIZACIJE DISTRIBUCIJA IZ COHEN-OVE KLASE I COMPRESSIVE SENSING METODA REKONSTRUKCIJE SIGNALA

Size: px
Start display at page:

Download "Branka Jokanović HARDVERSKE REALIZACIJE DISTRIBUCIJA IZ COHEN-OVE KLASE I COMPRESSIVE SENSING METODA REKONSTRUKCIJE SIGNALA"

Transcription

1 UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET HARDVERSKE REALIZACIJE DISTRIBUCIJA IZ COHEN-OVE KLASE I COMPRESSIVE SENSING METODA REKONSTRUKCIJE SIGNALA -MAGISTARSKI RAD- Podgorica, 2012

2 PODACI I INFORMACIJE O MAGISTRANTU Ime i prezime Datum i mjesto rođenja Naziv završenog osnovnog studijskog programa i godina diplomiranja Nikšić Elektrotehnički fakultet, odsjek za Elektroniku, telekomunikacije i računare, 2011 INFORMACIJE O MAGISTARSKOM RADU Naziv postdiplomskog studija Naslov rada Fakultet na kome je rad odbranjen Studijski program Računari Hardverske realizacije distribucija iz Cohenove klase i Compressive sensing metoda rekonstrukcije signala Elektrotehnički fakultet, Podgorica UDK, OCJENA I ODBRANA MAGISTARSKOG RADA Datum prijave magistarskog rada Datum sjednice Vijeća Univerzitetske jedinice na kojoj je prihvaćena tema Komisija za ocjenu teme i podobnosti magistranta Mentor Komisija za ocjenu rada Komisija za odbranu rada Prof. dr Srdjan Stanković Prof. dr Radovan Stojanović Doc. dr Irena Orović Prof. dr Srdjan Stanković Prof. dr Srdjan Stanković Prof. dr Radovan Stojanović Prof. dr Veselin Ivanović Doc. dr Irena Orović Prof. dr Veselin Ivanović Prof. dr Srdjan Stanković Prof. dr Radovan Stojanović Doc. dr Irena Orović Datum odbrane

3 Zahvaljujem se svom mentoru prof. dr Srdjanu Stankoviću na strpljenju, svakodnevnom i kontinuiranom radu, korisnim sugestijama i idejama koje su bile ključne u izradi ove magistarske teze. Omogućivši mi da radim u Laboratoriji za multimedije, pružio mi je šansu da svakodnevno stičem i obogaćujem svoja znanja iz oblasti hardverskih realizacija i obrade signala. Posebnu zahvalnost dugujem doc. dr Ireni Orović na korisnim sugestijama i pomoći prilikom pisanja naučnih radova na kojima je zasnovana ova teza. Takođe, zahvalnost dugujem ostatku laboratorijskog tima doc. dr Nikoli Žariću i Anđeli Draganić.

4 Sažetak U ovom radu predložena je modifikovana forma distribucija iz Cohen-ove klase. Data forma omogućava efikasno hardversko rješenje Cohen-ove klase pogodno za implementaciju. Posebna pažnja je posvećena realizaciji jezgra koje je zasnovano na eksponencijalnoj ili sinusnoj funkciji. Predložena arhitektura je implementirana koristeći FPGA tehnologiju i može se koristiti u raznim aplikacijama u realnom vremenu koje zahtjevaju vremensko-frekvencijsku analizu signala. Kako realizovano hardversko rješenje ne razmatra signale u prisustvu impulsnog ili kombinacije impulsnog i Gauss-ovog šuma, predložena je median i L-forma Ambiguity funkcije. Zahvaljujući tim formama, postojeći hardver je jednostavno modifikovati tako da omogući adekvantnu analizu signala i prisustvu pomenutih šumova. Dio rada je posvećen algoritmima na kojima se zasniva kompresivno odabiranje. Jedan od ključnih djelova ovog metoda rekonstrukcije signala predstavlja optimizacioni metod. U radu je opisano kompresivno odabiranje signala koji je razrijeđen u frekvencijskom domenu, a kao optimizacioni metod korišćen je primal dual algoritam. Poznavajući sve operacije koje čine kompresivno odabiranje moguće je dizajnirati arhitekturu, pa samim tim i hardversko rješenje sistema koje bi obavljalo rekonstrukciju signala na osnovu kompresivnog odabiranja.

5 Abstract A modified form of the Cohen class distributions is proposed. An efficient hardware solution based on this form is introduced. A special attention is devoted to the realization of kernel function. Namely, the proposed solution includes various kernels based on the exponential and sine function. The proposed architecture is implemented using field programmable gate array technology and can be used in high-speed real-time applications. However, the proposed solution represents a standard form of the Cohen class distribution which is sensitive to the impulse noise or the combination of impulse and Gaussian noise. In order to analyze signal disturbed by these types of noise, median and L-form of the Ambiguity function are introduced. Based on these forms, the existing hardware is modified to provide the signal analysis in the presence of impulse or combination of impulse and Gaussian noise. Also, we describe the algorithms on which Compressive sensing is based. The optimization procedure represents an important part of this reconstruction method. We consider signal sparse in frequency, while the primal dual algorithm is used for signal reconstruction. The architecture and hardware solution for Compressive sensing based on the primal dual algorithms can be realized by using the described operations.

6 Sadržaj Uvod... 7 Glava 1 1 Vremensko-frekvencijske distribucije signala Kratkotrajna Fourier-ova transformacija i spektrogram Wigner-ova distribucija S-metod Cohen-ova klasa distribucija Primjer Hardverske realizacije nekih vremensko-frekvencijskih distribucija Glava 2 2 Robusne distribucije Uvod priroda šuma Estimacije signala Mean i median forme Fourier-ove transformacije Marginalni median Mean i median forme Cohen-ove klase distribucija Dobijanje estimacije Cohen-ove klase distribucija korišćenjem Wigner-ove distribucije Median forma Ambiguity funkcije Forma Ambiguity funkcije u prisustvu kombinovanog Gauss-ovog i impulsnog šuma (robusna forma) Primjeri Glava 3 3 Modifikovana forma Cohen ove klase distribucija pogodna za hardversku realizaciju i njena implementacija

7 3.1 Modifikovana forma Cohen-ove klase Procedura računanja eksponencijalne funkcije za negativne argumente Hardverska realizacija Cohen-ove klase distribucija Hardver za Ambiguity funkciju Hardverska realizacija funkcije jezgra Hardver za inverznu 2D Fourier-ovu transformaciju FPGA implementacija Cohen-ove klase distribucija Glava 4 4 Hardverska realizacija robusne forme Cohen ove klase Hardverska realizacija median i L forme Cohen-ove klase distribucija Blok za računanje L-forme Ambiguity funkcije Memorija i množenje Sorter Glava 5 5 Arhitektura za realizaciju Compressive sensing metode Shannon-ova teorema Kompresivno odabiranje Arhitektura za realizaciju kompresivnog odabiranja Množenje matrice sa vektorom Transponovanje matrice Generisanje slučajnih brojeva Linearni feedback shift registar Linear congruential generator Blum Blum Shub generator Arhitektura za optimizacioni metod Primal-dual algoritam za rekonstrukciju signala Arhitektura za primal-dual algoritam

8 5.8 Komentari vezani za implementaciju kompresivnog odabiranja u FPGA tehnologiji Zaključak Literatura Prilog

9 Lista tabela Tabela 3.1 Najčešće korišćena jezgra Tabela 3.2 Karakteristike za čip EP3SL150F1152I3 u serijskoj konfiguraciji Tabela 3.3 Iskorišćenost logike za pojedinačne blokove u serijskoj konfiguraciji

10 Lista slika Slika 1.1 a) Spektrogram, b) Wigner-ova distribucija, c) Distribucija iz Cohen-ove klase Slika 1.2 Gauss-ovo jezgro Slika 1.3 Blok šema realizacije sistema za računanje S-metoda Slika 1.4 Šema realizacije multiple clock cycle sistema za vremensko-frekvencijsku analizu Slika 1.5 Šema realizacije multiple clock cycle sistema za prostorno-frekvencijsku analizu Slika 2.1 Gauss-ova raspodjela Slika 2.2 Laplace-ova raspodjela Slika 2.3 a) Ambiguity funkcija signala b) Standardna Ambiguity funkcija signala sa Gauss-ovim šumom, c) Median forma Ambiguity funkcije signala sa Gauss-ovim šumom, d) L-forma Ambiguity funkcije signala sa Gauss-ovim šumom, e) Standardna Ambiguity funkcija signala sa impulsnim šumom f) Median forma Ambiguity funkcije signala sa impulsnim šumom, g) L-forma Ambiguity funkcije signala sa impulsnim šumom, h) Standardna Ambiguity funkcija signala sa mješovitim šumom, i) Median forma Ambiguity funkcije signala sa mješovitim šumom, j) L-forma Ambiguity funkcije signala sa mješovitim šumom Slika 2.4 a) Standardna Wigner-ova distribucija zašumljenog signala, b) Median forma Wigner-ove distribucije signala sa mješovitim šumom, c) L forma Wigner-ove distribucije signala sa mješovitim šumom Slika 2.5 Wigner-ova distribucija signala sa mješovitim šumom dobijena preko Ambiguity funkcije : a) Standardna forma, b) Median forma, c) L-forma Slika 3.1 Jezgra iz Cohen-ove klase distribucija: a) Choi-Williams, b) Born-Jordan, c) Gauss, d) Radial Gauss Slika 3.2 Konstante u memoriji na čiji ulaz dolazi adresa a Slika 3.3 Unificirana procedura za računanje jezgra baziranog na eksponencijalnoj i sinusnoj funkciji Slika 3.4 Serijska konfiguracija za realizaciju Cohen-ove klase distribucija Slika 3.5 Paralelna konfiguracija za realizaciju Cohen-ove klase distribucija Slika 3.6 Gauss-ovo jezgro a) Originalni oblik b) Cjelobrojna realizacija Slika 3.7 Realizacija Taylor-ovog reda sa četiri člana razvoja Slika 3.8 Algoritam realizacije Taylor-ovog reda sa L članova Taylor-ovog reda Slika 3.9 Blok šema realizacije funkcije jezgra Slika 3.10 Blok šema dijela sistema koji obavlja inverznu 2D Fourier-ovu transformaciju Slika 3.11 FPGA realizacija autokorelacione funkcije Slika 3.12 Blok za računanje brze Fourier-ove transformacije Slika 3.13 a) FPGA realizacija bloka koji određuje tip jezgra, b) FPGA realizacija eksponencijalne funkcije Slika 3.14 Dio hardverske realizacije bloka koji računa inverznu 2D Fourier-ovu transformaciju Slika 3.15 Simulacioni rezultati Slika 3.16 Simulacioni rezultati dobijeni na izlazu sistema: a) Ambiguity funkcija, b) Distribucija iz Cohen-ove klase bazirana na Gauss-ovom jezgru Slika 4.1 Blok šema realizacije distribucije iz Cohen-ove klase Slika 4.2 Realizacija autokorelacione funkcije Slika 4.3 Blok šema realizacije kola za računanje L-forme Ambiguity funkcije

11 Slika 4.4 RAM jedinice u Quartus-u Slika 4.5 Blok šema realizacije sortera Slika 4.6 Moduli za kašnjenje u Quartus-u Slika 4.7 Blok šema realiazcije L kola Slika 4.8 Kolo multipleksera sa 32 ulaza Slika 5.1 Blok šema realizacije kompresivnog odabiranja Slika 5.2 Ilustracija množenja matrice i vektora Slika 5.3 FPGA implementacija množenja matrice i vektora čiji su elementi kompleksni brojevi Slika 5.4 a) Eksterna konfiguracija LFSR, b) Interna konfiguracija LFSR Slika 5.5 Simulacioni rezultati dobijeni za LFSR Slika 5.6 Simulacioni rezultati dobijeni za linear congruential generator Slika 5.7 Blok šema realizacije optimizacionog metoda Slika 5.8 Dobijanje početnog niza x f Slika 5.9 Algoritam računanja kvadratnog korijena Slika 5.10 Dobijanje početnog niza x f Slika 5.11 Računanje vrijednosti za prvu iteraciju Slika 5.12 Realizacija iterativne procedure Slika 5.13 Realizacija iterativne procedure (nastavak) Slika 5.14 Realizacija iterativne procedure (nastavak) Slika 5.15 Backtracking line search metod

12 UVOD Većina signala u prirodi ima vremenski promjenljiv spektar. Analiza ovih signala u isključivo vremenskom ili frekvencijskom domenu ne daje dovoljno informacija. Zbog toga se koristi združeno vremensko-frekvencijsko predstavljanje. Definisane su razne forme vremensko-frekvencijskih distribucija, ali nijedna ne predstavlja optimalno rješenje za sve tipove signala. Spektrogram, kao jedna od računski najprostijih distribucija, ima slabu rezoluciju u vremensko-frekvencijskom domenu. Rezoluciju je moguće poboljšati upotrebom Wigner-ove distribucije, ali u slučaju multikomponentnih signala pojavljuju se kros članovi. Cohen-ova klasa distribucija predstavlja skup distribucija kojima je cilj uklanjanje kros članova. Ove distribucije su našle primjenu pri analizi raznih tipova signala kao što su radarski, biomedicinski i seizmički signali. Da bi se ova analiza uspješno obavila u praksi potrebno je realizovati efikasna hardverska rješenja za distribicije iz Cohen-ove klase. Takođe, treba obezbjediti pogodne forme ovih distribucija za signale zahvaćenim šumovima. U prvoj glavi dat je opis najčešće korišćenih vremensko-frekvencijskih distribucija: spektrograma, Wigner-ove distribucije, S-metoda i Cohen-ove klase distribucija. Takođe je dat pregled hardverskih realizacija nekih vremensko-frekvencijskih distribucija. Forme distribucija u prvoj glavi predstavljaju standardne forme i one daju dobre rezultate za analizu signala u prisustvu Gauss-ovog šuma. Međutim, pored Gauss-ovog šuma, u praksi se često javljaju impulsni šumovi ili kombinacija Gauss-ovog i impulsnog šuma (mješoviti šum). U tim slučajevima se koriste robusne forme i L-forme distribucija. Median forma i L-forma Ambiguity funkcije su uvedene u Glavi 2. Na njima se zasnivaju forme distribucija iz Cohenove klase koje se mogu koristiti u prisustvu impulsnog ili mješovitog šuma. S obzirom da je realizovanje bilo koje distribucije iz Cohen-ove klase računski zahtjevno, potrebno je naći pogodno rješenje za hardversku implementaciju. U Glavi 3 predstavljena je forma Cohen-ove klase distribucija koja sadrži generalnu formu jezgara baziranih na eksponencijalnoj ili sinusnoj funkciji. Koristeći datu formu realizovano je efikasno hardversko rješenje za Cohen-ovu klasu distribucija. Predstavljeno rješenje ne uzima u obzir slučaj kada je signal zahvaćen sa impulsnim ili mješovitim šumom. U Glavi 4 dato 7

13 hardversko rješenje je modifikovano na osnovu predloženih robusnih i L-formi Ambiguity funkcije. Peta glava je posvećena algoritmima na kojima je zasnovano kompresivno odabiranje. Kompesivno odabiranje, kao alternativa Shannon-ovoj teoremi, može se primjenjivati na velikom broju signala. U radu je opisana realizacija jednog metoda kompresivnog odabiranja zasnovanog na primal dual algoritmu. Primal dual algoritam predstavlja optimizacioni metod koji ima ključnu ulogu u rekonstrukciji signala. Ovaj algoritam je inače računski najzahtjevniji dio sistema. S obzirom na široku primjenljivost kompresivnog odabiranja, definisanje algoritama na kojima bi se zasnivala arhitektura sistema ima veliki značaj. 8

14 Equation Chapter (Next) Section 1 Glava 1 1 Vremensko-frekvencijske distribucije signala Signali, kao nosioci informacije su svuda oko nas. Da bi dobili željenu informaciju iz signala potrebno je obraditi signal na odgovarajući način. Domen u kome se obavlja obrada i analiza signala ima važnu ulogu. Prirodan domen za predstavljanje velikog broja signala je vremenski domen. Međutim, veoma često je teško protumačiti informaciju iz signala njegovim posmatranjem u ovom domenu. Iz tog razloga, pristupa se analizi signala u drugim domenima [1], [2]. Prelazak signala iz jednog u drugi domen se obavlja preko transformacija. Najčešće korišćena transformacija je Fourier-ova transformacija kojim se vrši predstavljanje signala u frekvencijskom domenu. Fourier-ova transformacija u kontinualnoj formi se definiše kao: jt F( ) f ( t) e dt, (1.1) Frekvencijski domen je naročito pogodan za signale koji se mogu predstaviti kao suma sinusoida. Inverzna Fourier-ova transformacija je definisana sa: 1 jt f ( t) F( ) e dt 2, (1.2) gdje F(ω) predstavlja Fourier-ovu transformaciju signala. Koristeći primjer Fourier - ove transformacije, mogu se bolje razumjeti i neke druge transformacije u obradi signala. Generalno, neka transformacija treba da omogući prestavljanje signala preko nekih jednostavnih, takozvanih bazisnih funkcija koje su pomnožene odgovarajućim koeficijentima. Svakom signalu odgovaraju određeni transformacioni koeficijenti. U većini aplikacija cilj je da se preko što manjeg broja bazisnih funkcija predstavi signal. Smanjivanje broja koeficijenata preko kojih se predstavlja signal je naročito značajno u oblastima kompresije signala i uklanjanja šuma. Postoje razne vrste transformacija, ali nijedna nije optimalna za sve vrste signala. Transformacije koje predstavljaju signal u frekvencijskom domenu kao što su Fourier-ova transformacija ili diskretna kosinusna transformacija pružaju podatke o spektralnom sadržaju signala, ali ne i o vremenskom pojavljivanju spektralnih komponenti. S 9

15 obzirom da je većina signala u prirodi vremenski promjenljivog spektralnog sadržaja, potrebno je koristiti transformaciju koja će pratiti spektar u vremenu [1]-[10]. 1.1 Kratkotrajna Fourier-ova transformacija i spektrogram Najprostije rješenje za dobijanje vremenski promjenljivog spektra je kada se za dati vremenski trenutak izvrši Fourier-ova transformacija signala odsiječenog prozorom, a zatim se, klizajući prozor izračunavaju Fourier-ove transformacije za ostale vremenske trenutke. Na ovaj način je definisana kratkotrajna Fourier-ova transformacija [1]: Njena energetska verzija je spektrogram: j STFT ( t, ) x( t ) w( ) e d. (1.3) 2 SPEC( t, ) STFT ( t, ). (1.4) Ova transformacija je veoma jednostavna, ali ima određene nedostatke. Naime, zbog fiksne funkcije prozora ne možemo imati dobru rezoluciju i u vremenskom i u frekvencijskom domenu. Sužavanjem prozora u vremenskom domenu poboljšava se vremenska, ali slabi frekvencijska rezolucija i obratno. 1.2 Wigner-ova distribucija U cilju poboljšanja rezolucije u vremensko- frekvencijskom domenu uvedena je Wigner-ova distribucija koja se zasniva na autokorelacionoj funkciji signala [1]. Wigner-ova distribucija se definiše kao Fourier-ova transformacija autokorelacione funkcije R(t,τ): j WD( t, ) R( t, ) e d, (1.5) gdje je R(t,τ): R( t, ) x( t ) x ( t ). (1.6)

16 Za monokomponente signale, Wigner-ova distribucija daje bolju rezoluciju u odnosu na spektrogram. U slučaju multikomponentnih signala, Wigner-ova distribucija zbog svoje kvadratne prirode, proizvodi kros članove. Za multikomponentni signal x(t), Wigner-ova distribucija je: m x( t) x, (1.7) i1 i m m m j j i i i k i1 2 2 i1 k1 2 2 k (1.8) WD( t, ) x ( t ) x ( t ) e d x ( t ) x ( t ) e d. Uočavamo da, pored sume Wigner-ovih distribucija za svaku komponentu signala, postoje i članovi koji predstavljaju neželjenu komponentu. Sumirajući rezultate pomenutih distribucija možemo konstantovati da spektrogram ima slabu rezoluciju, dok se kod Wigner-ove distribucije javljaju kros članovi. Međutim, ovo nisu jedine mane ovih distribucija. Treba napomenuti da u slučaju signala čiji viši izvodi faze se ne mogu zanemariti, Wigner-ova distribucija i spektrogram će proizvoditi rasipanje oko trenutne frekvencije (trenutna frekvencija je prvi izvod faze). Stoga su uvedene i distribucije višeg reda. S obzirom da je kod velikog broja signala moguće zanemariti više izvode faze, velika pažnja je posvećena definisanju distribucija koje bi davale bolje rezultate u odnosu na Wigner-ovu distribuciju i spektrogram. Kao što je rečeno, kros članovi predstavljaju manu Wigner-ove distribucije. U cilju poboljšanja Wigner-ove distribucije predložene su brojne forme distribucija čiji je cilj eliminacija ili redukcija uticaja kros članova. 1.3 S-metod Jedan od načina da se redukuju ili u potpunosti eliminišu kros članovi je upotreba S- metoda koji koristi vezu kratkotrajne Fourier-ove transformacije i Wigner-ove distribucije [3]. Naime, Wigner-ova distribucija se može izraziti preko kratkotrajne Fourier-ove transformacije na sljedeći način: 1 WD( t, ) STFT ( t, ) STFT ( t, ) d. (1.9) 11

17 Uvodeći prozor u frekvencijskom domenu, moguće je eliminisati kros članove. Odnosno, S- metod se definiše kao: ili u diskretnom obliku: 1 SM ( t, ) P( ) STFT ( t, ) STFT ( t, ) d, (1.10) L * (, ) ( ) (, ) (, ) SM n k P i STFT n k i STFT n k i il L 2 * STFT ( n, k) 2Re P( i) STFT( n, k i) STFT ( n, k i). i1 (1.11) Mana S-metoda je što ne zadovoljava marginalne uslove. 1.4 Cohen-ova klasa distribucija U cilju eliminisanja kros članova koji se javljaju u Wigner-ovoj distribuciji može se koristiti i čitav set distribucija koje pripadaju Cohen-ovoj klasi [4]. Napomenimo da spektrogram i Wigner-ova distribucija takođe pripadaju generalizovanoj Cohen-ovoj klasi. Jedna od definicija Cohenove klase distribucija se bazira na korišćenju ambiguity domena. U ambiguity domenu auto i kros članovi imaju drugačiji raspored u odnosu na raspored u vremensko-frekvencijskom domenu. Za signale čije se glavne kompunente nalaze na niskim frekvencijama, kakva je većina u praksi, auto članovi će biti locirani oko koordinatnog početka. Ovakav raspored nam omogućava izdvajanje auto članova koristeći niskopropusnu filtarsku funkciju. Filtarska funkcija se zove funkcija jezgra. Za povratak iz ambiguity u vremensko-frekvencijski domen koristi se 2D inverzna Fourier-ova transformacija. Na ovaj način dobija se vremensko-frekvencijska distribucija koja ima redukovane kros članove. Međutim, treba napomenuti da kod nekih signala auto i kros članovi u ambiguity domenu mogu biti veoma bliski. U tom slučaju, treba biti pažljiv sa odabirom funkcije jezgra, jer ako bi uklonili dio auto članova došlo bi do gubitka korisne informacije. Optimalno rješenje predstavlja kompromis između očuvanja auto članova i eliminacije kros članova. Cohen-ova klasa distribucija se definiše kao: 12

18 1 j tj CD( t, ) c(, ) A(, ) e dd, 2 (1.12) gdje c(θ,τ) predstavlja funkciju jezgra, dok je A(θ,τ) Ambiguity funkcija. Koordinata θ se označava kao Doppler-ova frekvencija, dok je τ vremensko kašnjenje. Relacija koja povezuje Ambiguity funkciju i Wigner-ovu distribuciju je: A(, ) FT { WD( t, )}, (1.13) gdje FT t,ω predstavlja 2D Fourier-ovu transformaciju po vremenu t i frekvenciji ω. Ambiguity funkcija se definiše kao Fourier-ova transformacija auto-korelacione funkcije po promjenljivoj t: t, * j t (1.14) 2 2 A(, ) x( t ) x ( t ) e dt. Svaka specifična distribucija iz Cohen-ove klase je određena funkcijom jezgra. Na primjer, za 2 2 c(, ) e, 0, dobija se Choi-Williams-ova distribucija. Osobine distribucija iz Cohen-ove klase su određene osobinama funkcije jezgra. Neke od osobina koje bi trebalo da zadovoljava funkcija jezgra su: * 1. Funkcija jezgra je realna c(, ) c (, ), 2. Trenutna snaga signala c(,0) 1, 3. Spektralna gustina snage c(0, ) Primjer Posmatrajmo signal j0.3( n7) 2 j3.1sin(0.2 n) x() n e e. Na Slici 1.1 dat je spektrogram signala, Wigner-ova distribucija i distribucija iz Cohen-ove klase zasnovana na Gauss-ovom jezgru (Slika 1.2). 13

19 a) b) c) Slika 1.1 a) Spektrogram, b) Wigner-ova distribucija, c) Distribucija iz Cohen-ove klase Slika 1.2 Gauss-ovo jezgro Dati signal ima dvije komponente. Uočavamo da spektrogram ima slabu rezoluciju, dok je kod Wigner-ove distribucije rezolucija znatno bolja. Međutim, pojavljuje se kros član na sredini između dva auto člana. Dobra rezolucija i odsustvo kros člana je postignuto korišćenjem distribucije iz Cohen-ove klase zasnovane na Gauss-ovom jezgru. 1.6 Hardverske realizacije nekih vremensko-frekvencijskih distribucija Za vremensku-frekvencijsku analizu signala u praksi potrebno je obezbjediti optimalna hardverska rješenja. U literaturi su predložene razne vrste implementacija za spektrogram i Wigner-ovu distribuciju. Ove distribucije su računski jednostavne za realizaciju. S-metod, iako računski kompleksniji u odnosu na pomenute distribucije, ima bolju rezoluciju u odnosu na spektrogram, a kros članovi koji se javljuju kod Wigner-ove distribucije za slučaj multikomponentnih signala, su redukovani ili potpuno eliminisani. Jedan način realizacije sistema koji računa S-metod je predložen u [11]. Data su hardverska rješenja za S-metod sa fiksnom dužinom prozora i za adaptivni S-metod. Takođe, postojeći hardver se može koristiti 14

20 za realizaciju L-Wigner-ove distribucije i polinomijalne Wigner-ove distribucije. Šema realizacije za S-metod sa fiksnom dužinom prozora (L=2) je data na Slici 1.3 (zbog jednostavnosti prikaza izostavljeni su kontrolni signali). Sistem se sastoji iz dva dijela. Prvi dio služi za računanje kratkotrajne Fourier-ove transformacije, dok drugi dio koristi dobijenu kratkotrajnu Fourier-ovu transformaciju za realizaciju S-metoda. Ulazni signal se preko A/D konvertora pretvara u 16-bitni signal i na osnovu takvog signala se računa kratkotrajna Fourier-ova transformacija koja se dalje koristi pri računanju S-metoda. Da bi se izbjegla operacija kompleksnog množenja, posebno se radi sa realnim STFT Re i imaginarnim dijelom STFT Im kratkotrajne Fourier-ove transformacije. S obzirom na vezu koja postoji između S- metoda, L- Wigner-ove distribucije i polinomijalne Wigner-ove distribucije dato rješenje predstavlja efikasan način realizacije pomenutih vremensko-frekvencijskih distribucija. A/D 16 R1... R64 Vcc + Vcc... k=0 k= STFT Re(n-1,k) + Vcc + X X X X STFT Re(n,k-1) X STFT Re(n,k+1) STFT Re(n,k) X STFT Re(n,k+2) X STFT Re(n,k-2) shift shift + + SM(n,k) + STFT Im(n-1,k) STFT Im(n,k+2) X STFT Im(n,k-2) STFT Im(n,k) X STFT Im(n,k+1) X STFT Im(n,k-1) shift shift + + Slika 1.3 Blok šema realizacije sistema za računanje S-metoda Drugačiji način realizacije hardverskog rješenja za vremensko-frekvencijsku analizu je dat u [12]-[15]. Pomenuta rješenja koriste pristup sa više taktova (multiple clock cycle implementacija - MCCI). Ovaj pristup je pogodan, jer omogućava korišćenje istih hardverskih resursa više puta što umanjuje broj kola potrebnih za njegovu realizaciju. U [12] je data realizacija sistema koji se zasniva na S-metodu. Šema realizacije sistema je data na Slici 1.4. Ovaj sistem se može podijeliti na dva bloka. Prvi blok računa kratkotrajnu Fourier- 15

21 ovu transformaciju (označenu sa F na slici), dok drugi dio modifikuje izlaze prvog bloka u cilju dobijanja distribucije zasnovane na S-metodu ili na L-Wigner-ovoj distribuciji. Razlika ovog pristupa u odnosu na prethodni je ta što se pokušava raspodjeliti vrijeme računanja distribucije na više taktova. Odnosno, računanje se obavlja iz nekolika koraka, pri čemu je trajanje svakog koraka jedan takt. Na ovaj način se povećava frekvencija takta. U prvom taktu se računa kratkotrajna Fourier-ova transformacija, dok se u drugom računa spektrogram. U sljedećim taktovima se računa S-metod, pri čemu što je veći redni broj takta, širi je i prozor koji se koristi za računanje S-metoda. Multiplekser na ulazu drugog dijela omogućava korišćenje dobijenog S-metoda ukoliko se želi računati L-Wigner-ova distribucija. Ovaj pristup je iskorišćen i za prostorno-frekvencijsku analizu 2D signala u [13] čija je šema realizacije data na Slici 1.5. Prikazan je sistem gdje je širina prozora za realizaciju 2D S- metoda L=1. Otuda devet elemenata u konvolucionom prozoru koji se dalje dovode na dio koji od vrijednosti 2D kratkotrajne Fourier-ove transformacije računa 2D S-metod (STFT- SM). A/D 16 R1 R64 Vcc... + Vcc... k=0 k= F Re(n-1,k) F Im(n-1,k) Vcc X X X X F Im(n,k+N/2-1) F Im(n,k) F Im(n,k-N/2+1) F Re(n,k) F Re(n,k+N/2-1) M U X F Re(n,k-N/2+1) M U X M U X M U X M U X X X D M U X D M U X shift 0 shift M U X M U X 0 M U X M U X + imag + real + 0 M U X SM Slika 1.4 Šema realizacije multiple clock cycle sistema za vremensko-frekvencijsku analizu 16

22 STFT (k 1 +1,k 2 +1) (k 1 +1,k 2 ) (k 1 +1,k 2-1) kašnjenje (k 1,k 2 +1) (k 1,k 2 ) (k 1,k 2-1) kašnjenje (k 1-1,k 2 +1) (k 1-1,k 2 ) (k 1-1,k 2-1) 9 M U X M U X X shift + SMregistar SM Slika 1.5 Šema realizacije multiple clock cycle sistema za prostorno-frekvencijsku analizu 17

23 Equation Chapter (Next) Section 1 Glava 2 2 Robusne distribucije 2.1 Uvod priroda šuma Šumovi su neizbježna pojava u realnim signalima. Naime, zbog različitih uzroka, nikad nemamo idealno čist signal, već neku zašumljenu verziju. Postoje razne vrste šumova. Najčešći šum je termički šum koji nastaje u poluprovodničkim komponentama. Jedan takođe veoma rasprostranjen tip šuma je impulsni šum. Svi šumovi su slučajne veličine. S obzirom na tu osobinu, šumovi se ne mogu u potpunosti eliminisati. Međutim, moguće je smanjiti njihov uticaj. Da bi analizirali šum koriste se funkcije raspodjele vjerovatnoće. Termički šum se može modelovati Gauss-ovom raspodjelom, dok impulsnom šumu odgovara Laplace-ova raspodjela. Na Slici 2.1 je data Gauss-ova raspodjela koja se opisuje relacijom 2 ( e) p( e) e, (2.1) 2 gdje je µ srednja vrijednost promjenljive e, dok je σ varijansa šuma. p(e) e Slika 2.1 Gauss-ova raspodjela Srednja vrijednost nam govori koja vrijednost šuma je najviše zastupljena, dok varijansa pruža informaciju koliko su ostale vrijednosti šuma udaljene u odnosu na srednju vrijednost. Oba parametra su vrlo bitna, jer ako šum ima malu srednju vrijednost to ne znači da su ostale vrijednosti takođe bliske srednjoj vrijednosti. Odnosno, manja vrijednost µ ne znači manju varijansu. Iz tog razloga se uzimaju u obzir oba parametra. Još jedna 18

24 karakteristika Gauss-ovog šuma je ta što vrijednosti na krajevima intervala imaju male vjerovatnoće pojavljivanja. Impulsni šum je šum koji se najčešće javlja uslijed atmosferskog pražnjenja. Ovaj šum može da uzima vrlo velike vrijednosti, odnosno u signalu se javljaju impulsi. Odatle i njegov naziv. Impulsni šum se može modelovati Laplace-ovom raspodjelom (Slika 2.2): e 1 b p( e) e, (2.2) 2b gdje su b i µ parametri raspodjele. Parametar µ predstavlja centralnu vrijednost raspodjele, dok vrijednost parametra b određuje nagib raspodjele. p(e) e Slika 2.2 Laplace-ova raspodjela Šumovi kojima odgovara Laplace-ova raspodjela se zovu heavy-tailed šumovi. Postavlja se pitanje na koji način je moguće smanjiti uticaj šuma bilo kojeg tipa. Definisane su različite vrste filtara čiji je zadatak redukcija šuma. 2.2 Estimacije signala Jedan od načina filtriranja definiše Huber-ova estimaciona teorija. Po ovoj teoriji, estimator signala se dobija rješavanjem optimizacionog problema koji zavisi od statističkih karakteristika šuma. Neka je dat diskretni signal f(n) koji sadrži šum i koji se može modelovati kao: f ( n) s( n) v( n), (2.3) 19

25 gdje je s(n) nezašumljena verzija signala f(n), dok je v(n) signal šuma. Po Huber-ovoj teoriji estimator signala, odnosno filtrirani signal x(n) se dobija kao rješenje sljedećeg optimizacionog problema: nn x( n) arg min ( ). F f k (2.4) knn Za svaki odbirak signala f(n) formira se skup od 2N+1 odbiraka koji učestvuju u estimaciji tačke x(n). Funkcija F(e) predstavlja funkciju gubitaka, gdje je e f ( k). Ova funkcija zavisi od funkcije gustine šuma p v (e) i definiše se kao: F( e) log p ( e). (2.5) S obzirom na zavisnost funkcije F(e) od p v (e), u slučaju šuma čija funkcija gustine šuma odstupa od zadate, filtar neće davati zadovoljavajuče rezultate. U nastavku su date forme filtara za Gauss-ov i impulsni šum. Gauss-ov šum Kod Gauss-ovog šuma, funkcija gustine vjerovatnoće je data sa (2.1), pa je funkcija gubitaka: v 2 ( e) ( ) log ( ) log( ). F e p e e e (2.6) 2 Rješavanjem optimizacionog problema dobija se forma filtra koji zapravo predstavlja filtar srednje vrijednosti: nn 1 f ( k) mean f ( k) x( n). (2.7) 2N 1 k nn, nn knn Impulsni šum Na sličan način se dobija forma filtra za šum sa Laplace-ovom raspodjelom. Funkcija gubitaka je: e 1 b F( e) log p( e) log( e ) e. (2.8) 2b Zamjenjujući (2.8) u (2.4) i minimizacijom dobija se filtar koji se zove median filtar: 20

26 nn nn sign f ( k) 0 sign f ( k) 0. (2.9) knn knn Date forme filtara su zasnovane na posmatranju signala u vremenskom domenu. S obzirom na značaj transformacija signala, treba naći i njihove odgovarajuće forme filtara Mean i median forme Fourier-ove transformacije Neka je dat signal f(n) od N odbiraka koji sadrži šum v(n). Njegova Fourier-ova transformacija se dobija rješavanjem sljedećeg optimizacionog problema: Gauss-ov šum N 1 2 j kn N X ( k) arg min F f ( n) e. (2.10) n0 Za Gauss-ov šum funkcija gubitaka se definiše kao F() e 2 e. Minimizacija izraza 2 N 1 2 j kn N I( k, ) f ( n) e, (2.11) n0 podrazumijeva traženje njegovog parcijalnog izvoda po i izjednačavanje dobijenog izraza sa nulom. Opisanim postupkom dobija se jednačina sa nepoznatom : čijim rješavanjem se dobija: N1 2 j kn N1 N f ( k) e, (2.12) n0 n0 N j kn N f ( k) e X ( k). (2.13) N n0 Izraz (2.13) predstavlja standardnu formu diskretne Fourier-ove transformacije. 21

27 Impulsni šum Iako postoje nekoliko vrsta impulsnih šumova, većina se može modelovati sa Laplaceovom raspodjelom, odnosno njihova funkcija gubitaka je F() e Gauss-ovog šuma, vršimo minimizaciju sljedećeg izraza: e. Slično kao u slučaju N 1 n0 2 j kn N I( k, ) f ( k) e. (2.14) Izjednačavanjem parcijalnog izvoda po µ, Ik (, ) 0, dobija se N 1 2 j kn N sign f ( k) e 0. (2.15) n0 x Funkcija znaka sign( x ) se može zamjeniti sa sign( x), pa (2.15) postaje: x 2 j kn N N 1 f ( k) e 0, (2.16) 2 n0 j kn N f ( k) e odnosno: N 1 1 ( ) 1 2 j kn N N 1 2 n0 j kn N 2 f ( k) e n0 j kn N f ( k) e f k e X( k). (2.17) S obzirom da relacija (2.17) sadrži traženu estimaciju µ i na lijevoj i na desnoj strani, potrebno je naći odgovarajući način rješavanja. Razmotrimo tri načina za rješavanje jednačine: iterativna procedura, vektor median, 22

28 marginalni median. Dobijena forma filtra u prisustvu impulsnog šuma je poznata pod nazivom median forma [16]-[18] Marginalni median Za rješavanje izraza (2.17) najčešće se koristi marginalni median. Razlog je manja računska složenost u odnosu na iterativnu proceduru i vektor median. Manja računska složenost znači i brže izvršavanje. Marginalni median se može koristiti kada su realni i imaginarni dio funkcije gubitaka nezavisni, odnosno F(e) možemo zapisati kao: F( e) Re{ F( e)} j Im{ F( e)}. (2.18) Postupak traženja marginalnog mediana se može objasniti na primjeru diskretne Fourier-ove transformacije. Marginalni median diskretne Fourier-ove transformacije je: 2 2 ( ) (Re ( ) j kn ) (Im ( ) j N X k median f n e jmedian f n e N kn ) n 0, N 1. (2.19) Naime, posebno se posmatraju nizovi koji predstavljaju realne i imaginarne djelove elemenata niza f ( n) e j 2 kn N. Dobijeni nizovi se sortiraju i uzima se srednja vrijendost niza. Ovaj postupak razdvajanja na realni i imaginarni dio se koristi i u slučajevima kada se funkcija gubitaka ne može predstaviti kao u (2.18). Greška koja nastaje se može zanemariti, jer je mala razlika u rezultatima dobijenim preko marginalnog mediana, vektor mediana i iterativne procedure. 2.3 Mean i median forme Cohen-ove klase distribucija Mean i median forme vremensko-frekvencijskih distribucija su izvedene na sličan način kao u slučaju Fourier-ove transformacije. Za signale u prisustvu impulsnog šuma, definisane su median forme spektrograma i Wigner-ove distribucije. S obzirom da postoji 23

29 veza između Cohen-ove klase distribucija, tačnije Ambiguity funkcije i Wigner-ove distribucije, na osnovu median forme Wigner-ove distribucije mogu se izračunati distribucije iz Cohen-ove klase Dobijanje estimacije Cohen-ove klase distribucija korišćenjem Wigner-ove distribucije Za Wigner-ovu distribuciju optimizacioni problem se definiše na sljedeći način: N p /2 F en k m mnp /2 WD n, k arg min,,, (2.20) gdje je N p širina prozora koja se koristi kod autokorelacione funkcije, a e(n,k,m): * j 2 mk / N e n, k, m 2 Re f n m f n m e. (2.21) Gauss-ov šum (standardna forma Wigner-ove distribucije i Ambiguity funkcije) postaje: Za Gauss-ov šum i njegovu funkciju gubitaka F() e 2 e optimizacioni problem (2.20) N p /2 2 (, ) arg min e. mnp /2 WD n k (2.22) J Minimizacijom izraza označenog sa J dobijamo: J 0 N p /2 x n * m x n j2 mk / N p m e (2.23) mnp /2 2 Re{ ( ) ( ) } 0. Kako je suma realnih djelova isto što i realni dio od sume, prethodni izraz zapisujemo kao: Re ( ) ( ) 0, N p /2 * j2 mk / N p x n m x n m e (2.24) mnp /2 odnosno, 24

30 Re ( ) ( ). N p /2 * j2 mk / N p x n m x n m e N (2.25) p mnp /2 Standardna Wigner-ova distribucija se dobija kao: N /2 1 p * j2 mk / N Re ( ) ( ) p x n m x n m e WD ( n, k ). N (2.26) p mnp /2 računa kao: Nakon dobijanja standardne forme Wigner-ove distribucije, Ambiguity funkcija se N /2 N p /2 j2 np/ N j2 kv/ N p (, ) (, ). A p v WD n k e e (2.27) nn /2 k N p /2 Zamjenjujući dobijeni izraz (2.26) u (2.27) dobijamo: N /2 Np/2 Np/2 * j2 mk / N p j2 np/ N j2 kv/ N p (2.28) p nn /2 kn p/2 mn p/2 1 A( p, v) x( n m) x ( n m) e e e. N Zamijenimo mjesta sumama kao i eksponencijalnim članovima: N p/2 N p/2 N /2 * j2 np/ N j2 mk / N p j2 kv/ N p p mn p/2 kn p/2 nn /2 (2.29) 1 A( p, v) x( n m) x ( n m) e e e. N Uočava se da dio unutar velike zagrade odgovara direktnom računanju Ambiguity funkcije preko autokorelacione funkcije. Impulsni šum (median forma Wigner-ove distribucije i Ambiguity funkcije) Na sličan način kao u slučaju standardne forme, izvodi se rješenje za median formu. Rješenje optimizacionog problema za Wigner-ovu distribuciju kada je F() e sa: e označićemo N p /2 WD ( n, k) arg min F( e), (2.30) R mnp /2 Odnosno važi: 25

31 N p /2 WD ( n, k) arg min e. (2.31) R mnp /2 J Traženjem parcijalnog izvoda izraza J po µ i njegovim izjednačavanjem sa nulom dobijamo: N p /2 sign x( n * m) x ( n j2 mk / N p m) e 0. (2.32) mnp /2 Zamjenjivanjem funkcije znaka dobja se pogodniji izraz za µ: sign( x) x x N p/2 * j2 mk / N p x( n m) x ( n m) e 0, (2.33) * j2 mk / N p mnp /2 x( n m) x ( n m) e odnosno, N p /2 N p /2 * j2 mk / N p 1 x( n m) x ( n m) e. (2.34) * j2 mk / N p * j2 mk / N p mn p/2 x( n m) x ( n m) e mn p/2 x( n m) x ( n m) e Median forma Wigner-ove distribucije je: N p/2 * j2 mk / N p 1 x( n m) x ( n m) e, N p /2 * j2 mk / N p 1 mnp /2 x( n m) x ( n m) e * j2 mk / N p mnp /2 x( n m) x ( n m) e (2.35) ili drugačije zapisano: N p/2 * j2 mk / N p x( n m) x ( n m) e WDR ( n, k). N p /2 mn /2 * 2 / 1 p j mk N p x( n m) x ( n m) e * j2 mk / N p mnp /2 x( n m) x ( n m) e (2.36) Na osnovu dobijene Wigner-ove distribucije računamo Ambiguity funkciju koristeći: (, ) N /2 N p /2 j2 np/ N R(, ) j2 kv/ N p. nn /2 kn p /2 A p v WD n k e e (2.37) 26

32 Median forma Ambiguity funkcije je: A( p, v) N /2 N p /2 N p /2 * j2 mk / N p j2 np/ N j2 kv/ N p nn /2 k N p/2 mn p/2 * x( n m) x ( n m) e e e j2 mk / N p x( n m) x ( n m) e N p /2 * j2 mk / N p mnp /2 x( n m) x ( n m) e 1. Uz zamjenu mjesta sumama kao i eksponencijalnim članovima dobijamo: (2.38) Np/2 Np/2 mn p/2 k N p/2 j2 mk / N p j2 kv/ N p A( p, v) D( n, m, p) e e, N /2 * j2 np/ N x( n m) x ( n m) e za D( n, m, p). N p /2 nn/2 * j2 mk / N 1 p x( n m) x ( n m) e * j2 mk / N p mnp /2 x( n m) x ( n m) e (2.39) 2.4 Median forma Ambiguity funkcije Postupak traženja median forme Ambiguity funkcije na osnovu Wigner-ove distribucije je računski zahtjevan. U nastavku ćemo objasniti postupak traženja median forme Ambiguity funkcije direktno u ambiguity domenu. Tražimo rješenje optimizacionog problema definisanog na sljedeći način: N /2 A ( p, m) arg min F( e). (2.40) R nn/2 Zapisujući funkciju gubitaka kao F() e e gdje je e: e x n m x n m e * j2 np/ N ( ) ( ), (2.41) (2.40) postaje 27

33 N /2 A ( p, m) arg min e. (2.42) R nn/2 J Rješavanje optimizacionog problema uključuje sljedeće korake: J 0 N /2 sign x( n * m) x ( n j2 np/ N m) e 0, (2.43) nn/2 N /2 * j2 np/ N x( n m) x ( n m) e 0. (2.44) * j2 np/ N x( n m) x ( n m) e nn/2 Na osnovu: * j2 np/ N 1 x( n m) x ( n m) e, x( n m) x ( n m) e x( n m) x ( n m) e N/2 N/2 (2.45) * j2 np/ N * j2 np/ N nn /2 nn /2 dobijamo rješenje optimizacionog problema kao: N /2 * j2 np/ N 1 x( n m) x ( n m) e.(2.46) 1 ( ) ( ) N /2 * j2 np/ N nn/2 x n m x n m e * j2 np/ N nn/2 x( n m) x ( n m) e Odnosno, median forma Ambiguity funkcije dobijena direktnim računanjem u ambiguity domenu je: N /2 * j2 np/ N x( n m) x ( n m) e AR ( p, m). N /2 nn/2 * j2 np/ N 1 x( n m) x ( n m) e * j2 np/ N x( n m) x ( n m) e nn/2 (2.47) 2.5 Forma Ambiguity funkcije u prisustvu kombinovanog Gaussovog i impulsnog šuma (robusna forma) Forma filtra kada je signal zahvaćen kombinacijom Gauss-ovog i impulsnog šuma (poznat i kao mješoviti šum) se može izvesti ako se zna funkcija gustine vjerovatnoće takvog 28

34 šuma. Međutim, u praksi je teško odrediti funkciju gustine vjerovatnoće kombinacije Gaussovog i impulsnog šuma. Kako je Huber-ov estimacioni pristup osjetljiv na formu funkcije gustine vjerovatnoće, umjesto njega koriste se L-filtri. Izlaz L-filtra za ulazni signal f(n) se definiše kao: N x( n) a f ( n), (2.48) i1 i i gdje su koeficijenti a i takvi da zadovoljavaju sljedeće uslove: N ai 1, (2.49) i1 a i a N i Prvi uslov se odnosi na očuvanje energije, dok drugi omogućava da su jednaki koeficijenti koji se množe sa elementima simetričnim u odnosu na medijan. Najčešće se koristi trimovana forma L-filtra koja iz sortirane sekvence bira nekoliko vrijednosti oko mediana (simetrično) i usrednjava ih, a ostale množi sa nulom. Izraz za robusnu Ambiguity funkciju u trimovanoj formi L-filtra je: N /21,,, A p m a r p m j i p m L i i i in/2 gdje su r p, m i i p, m elementi sortiranih nizova R p, m i I p, m., i realne djelove x( n m) x ( n m) e i * j2 np/ N, a,. I p m imaginarne: R p m sadrži * j2 np/ N r p, m R p, m, R p, m Re x( n m) x ( n m) e, n N / 2, N / 2, i * j2 np/ N i p, m I p, m, I p, m Im x( n m) x ( n m) e, n N / 2, N / 2. i Koeficijenti a i se mogu definisati kao: a i 1, za i N 2, 2 N N 1, N , ostalo. (2.50) 29

35 Za 0 dobija se standardna Ambiguity funkcija, a za 0.5 median forma Ambiguity funkcije. 2.6 Primjeri Primjer 1 j32cos(2 n) Posmatrajmo signal x( n) e zahvaćen Gauss-ovim, impulsnim i mješovitim šumom. Na Slici 2.3 date su standardne, median i L forme Ambiguity funkcije dobijene direktnim računanjem u ambiguity domenu. Uzeto je da prozor autokorelacione funkcije ima 128 odbiraka, dok je ukupna dužina signala 256 odbiraka. a) b) c) d) 30

36 e) f) g) h) i) j) Slika 2.3 a) Ambiguity funkcija signala b) Standardna Ambiguity funkcija signala sa Gaussovim šumom, c) Median forma Ambiguity funkcije signala sa Gauss-ovim šumom, d) L- forma Ambiguity funkcije signala sa Gauss-ovim šumom, e) Standardna Ambiguity funkcija signala sa impulsnim šumom f) Median forma Ambiguity funkcije signala sa impulsnim šumom, g) L-forma Ambiguity funkcije signala sa impulsnim šumom, h) Standardna Ambiguity funkcija signala sa mješovitim šumom, i) Median forma Ambiguity funkcije signala sa mješovitim šumom, j) L-forma Ambiguity funkcije signala sa mješovitim šumom. Uočavamo da su rezultati dobijeni korišćenjem L forme optimalni za sve tri vrste šuma, dok standardne forme jedino daju dobre rezultate u prisustvu Gauss-ovog šuma. Primjer 2 Signalu x( n) e 32 j cos(1.5 n ) j 20cos( n ) 3 je dodat mješoviti šum. Na Slici 2.4 date su standardne, median i L forme Wigner-ove distribucije. Wigner-ove distribucije dobijene preko standardnih, median i L formi Ambiguity funkcije koje su računate direktno u ambiguity domenu su date na Slici 2.5. Prozor autokorelacione funkcije ima 101 odbirak, dok je ukupna dužina signala 800 odbiraka. 31

37 a) b) c) Slika 2.4 a) Standardna Wigner-ova distribucija zašumljenog signala, b) Median forma Wigner-ove distribucije signala sa mješovitim šumom, c) L forma Wigner-ove distribucije signala sa mješovitim šumom. a) b) c) Slika 2.5 Wigner-ova distribucija signala sa mješovitim šumom dobijena preko Ambiguity funkcije : a) Standardna forma, b) Median forma, c) L-forma Ovaj primjer ukazuje na prednost direktnog računanja estimacije ambiguity funkcije. S obzirom da se u našim primjerima analiza signala ne vrši u ambiguity domenu, već u vremensko-frekvencijskom domenu, koristeći Ambiguity funkciju dobili smo vremenskofrekvencijsko predstavljanje. Dakle, vremensko-frekvencijsko predstavljanje dobijeno na bazi robusne Ambiguity funkcije (L-forma) predstavlja optimalno rješenje za analizu signala zahvaćenih mješovitim šumom. Na Slici 1.4 su prikazana tri slučaja Wigner-ove distribucije dobijene standardnim računanjem, dok su na Slici 1.5 prikazane Wigner-ove distribucije 32

38 dobijene preko tri forme Ambiguity funkcije. Pokazuje se da je L-forma optimalno rješenje za analizu signala zahvaćenog mješovitim šumom. 33

39 Equation Chapter (Next) Section 1 Glava 3 3 Modifikovana forma Cohen ove klase distribucija pogodna za hardversku realizaciju i njena implementacija Za redukciju kros članova prisutnih kod Wigner-ove distribucije koristi se Cohen-ova klasa distribucija. Njoj pripada značajan broj kvadratnih distribucija. Svaka distribucija je određena funkcijom jezgra preko koje se filtriranjem u ambiguity domenu vrši redukcija kros članova. Postoje različiti oblici funkcije jezgra. Neke od najčešće korišćenih funkcija jezgra su date u Tabeli 3.1, dok je njihova ilustracija prikazana na Slici 3.1. Tabela 3.1 Najčešće korišćena jezgra Jezgro Choi-Williams Born-Jordan Gauss Radial Gauss Matematički oblik 2 2 c(, ) e, 0 sin( / 2) c(, ) /2 2 2 ( ) c(, ) e c(, ) e 2 (arctan( / )) a) b) 34

40 c) d) Slika 3.1 Jezgra iz Cohen-ove klase distribucija: a) Choi-Williams, b) Born-Jordan, c) Gauss, d) Radial Gauss Može se uočiti da je većina funkcija jezgra zasnovana na eksponencijalnoj funkciji. Diskretna forma Cohen-ove klase distribucija se definiše kao: 2 N N1 N2 N1 j ( pu pn km ) * N N p (3.1) pn1 mn2 un1 CD( n, k) 2 c( p, m) x( u m) x ( u m) e, gdje je N 2N1 1 i Np 2N2 1. Postupak računanja distribucije iz Cohen-ove klase se može opisati na sljedeći način: 1. Izračuna se auto-korelaciona funkcija, 2. Računanje Ambiguity funkcije i funkcije jezgra, 3. Filtrira se Ambiguity funkcija koristeći funkciju jezgra, 4. Izvrši se 2D inverzna Fourier-ova transformacija. Kao generalizacija hardverskih rješenja za vremensko frekvencijske distribucije, predložena je modifikovana forma Cohen-ove klase pogodna za hardversko rješenje. Ova forma omogućava hardversku implementaciiu distribucija iz Cohen-ove klase zasnovanih na eksponencijalnim i sinusnim jezgrima. Data forma, dovođenjem odgovarajućih kontrolnih signala, takođe omogućava i realizaciju spektrograma i Wigner-ove distribucije. 35

41 3.1 Modifikovana forma Cohen-ove klase Distribucije iz Cohen-ove klase su računski kompeksne. Razlog su veliki broj operacija koje je potrebno izvesti u cilju dobijanja vremensko-frekvencijske distribucije. Realizacija jedne distribucije podrazumijeva računanje Ambiguity funkcije i određene funkcije jezgra sa odgovarajućim parametrima. Naglasimo da distribucije koje se najčešće koriste imaju eksponencijalni ili sinusni oblik jezgra. Primjer su Choi-Williams-ova i Born- Jordan-ova distribucija. One su našle značajnu primjenu u analizi biomedicinskih signala. U cilju generalizacije Cohen-ove klase distribucija zasnovanih na eksponencijalnim i sinusnim jezgrima uvešćemo formu koja je pogodna za hardversku realizaciju. Modifikovana forma Cohen-ove klase distribucija zasnovanih na eksponencijalnim jezgrima se može definisati kao: N1 N2 M /21 M /2 p, m pn1m N2 i0 j 2 pn j 2 N N km p CD( n, k) 2 (1 ( p, m)) c ( i) A( p, m) e, (3.2) gdje cpm, () i i /2 ( pm, ) M (za datu tačku (p,m)) predstavljaju konstante koje se računaju u iterativnoj proceduri. Za jezgra koja su zasnovana na sinusnoj funkciji, predložena forma (3.2) se može modifikovati na sljedeći način: M / j pnj km N N p (3.3) c () i CD( n, k) 2 Im((1 j ( p, m)) ) A( p, m) e (, ) N1 N2 pm, i 0 M /2 pn1m N pm 2 Za ( p, m) pm/2 dobija se Born Jordan-ovo jezgro. U cilju optimalne hardverske realizacije konstante se definišu kao [27], [28]: c pm, i 1 a( i)2, a( i) 0,1, za eksponencijalnu funkciju, () i i 1 jb( i)2, b( i) 1,1,za sinusnu funkciju. (3.4) Vrijednosti a(i) (ili b(i) za sinusnu funkciju) se računaju kroz M/2 iteracija gdje je M broj bita koji definiše preciznost. Niz binarnih vrijednosti a(i) (ili b(i) za sinusnu funkciju) određuje M /21 i0 c pm, () i. Dakle, postoji 2 M/2 konstanti. U cilju optimizacije hardverskih resursa, konstante su izračunate za sve binarne kombinacije a: {a(i), i[0,m/2-1]} (ili za b:{b(i), 36

42 i[0,m/2-1]}) i sačuvane u memoriji. Niz a (ili b) je ulaz memorije i određuje koja će se adresa pročitati. Ilustracija koja prikazuje kako su konstante određene nizom a je data na Slici 3.2. a konstante u memoriji 0 1 ( M/22) ( M/21) (1 02 )(1 02 )...(1 02 )(1 02 ) 0 1 ( M/22) ( M/21) (1 02 )(1 02 )...(1 02 )(1 12 ) ( M/22) ( M/21) (1 12 )(1 12 )...(1 12 )(1 12 ) Slika 3.2 Konstante u memoriji na čiji ulaz dolazi adresa a Vrijednost /2 ( pm, ) se dobija nakon M/2 koraka u iterativnoj proceduri. Algoritam M iterativne procedure je: Korak 1: 0 ( p, m) ( p, m), Korak 2: Korak 3: Korak 4: i 1, if i ( p, m) ln(1 2 ) 0, ai () 0, otherwise, 1, if i( p, m) 0, bi () 1, otherwise, i i1 p m i p m a i 1 i i1 p m i p m b i (, ) (, ) ln(1 ( )2 ), za eksponencijalnu funkciju, M 2 (, ) (, ) ( ) tan (2 ),za sinusnu funkciju. Ako je i 1 procedura je završena, u suprotnom treba preći na Korak 2. Uočimo da je /2 ( pm, ) dobijeno u Koraku 3 za zadnju iteraciju 1 M M i. 2 37

43 Koristeći datu proceduru, maksimalna i minimalna vrijednost argumenta ( pm, ) su M /21 i ln(1 2 ) i0 i 0, respektivno. Za M/2 10 izraz M /21 i ln(1 2 ) i0 konvergira ka 1.56, tako da je argument eksponencijalne funkcije ograničen na opsegu [0,1.56]. Međutim, pošto argument funkcije jezgra ( pm, ) može prevazići zapisivanjem ( pm, ) na sljedeći način: može imati proizvoljnu vrijednost, ograničenost opsega se ( p, m) ( I F) ln 2, (3.5) gdje je I cjelobrojni dio, dok je F frakcioni dio izraza ( p, m)log 2 e,(0 F<1). Dakle, eksponencijalna funkcija se može zapisati kao: ( p, m) ( I F )ln 2 I F ln 2 e e 2 e. (3.6) Sada je argument eksponencijalne funkcije Fln2 i nalazi se u opsegu [0, 0.69). Množenje F ln 2 e sa 2I je obavljeno prostim dodavanjem I eksponentu broja sa pomičnim zarezom. Za sinusnu funkciju prethodno opisane operacije nijesu potrebne. Ilustracija kompletne procedure i za eksponencijalnu i za sinusnu funkciju je data na Slici 3.3. U nastavku je dat dokaz da se predložena iterativna procedura može koristiti za računanje eksponencijalne i sinusne funkcije. 38

44 START ( p, m), M, skala 1 Blok za skaliranje i 0, 0( p, m) ( p, m) i ( p, m) ( i) Blok za skaliranje(samo za eksponencijalnu funkciju) NE ( p, m) ( p, m)log2 e ln 2 I F ( p, m)log 2 e skala 2 I ( p, m) F ln 2 ln(1 2 i ), za eksponencijalnu funkciju, () i 0, za sinusnu funkciju, s1 1, za eksponencijalnu i sinusnu funkciju, 0, za eksponencijalnu funkciju, s2 1, za sinusnu funkciju, ln(1 si ( )2 i ), za eksponencijalnu funkciju, () i si ( ) tan 1 (2 i ), za sinusnu funkciju. DA s() i s1 s() i s2 i1( p, m ) i( p, m ) ( i ) M i 1 2 i i1 NE DA s[0..m/2-1] ROM M /2 ( pm, ) mem_out j s2 c( p, m) mem _ out (1 e 2 M /2( p, m)) result skala c( p, m) STOP Slika 3.3 Unificirana procedura za računanje jezgra baziranog na eksponencijalnoj i sinusnoj funkciji Dokaz: a) Eksponencijalna funkcija: Posmatrajmo slučaj eksponencijalne funkcije sa pozitivnim argumentum ( pm, ) koji je skaliran na opseg [0, 0.69). Cilj je napisati vrijednost argumenta ( pm, ) koristeći M pozitivnih brojeva koji konvergiraju ka nuli: ( p, m),... ( p, m), ( p, m),..., ( p, m) 0 1 i i1 M Počevši od 0 ( p, m) ( p, m) i koristeći relaciju [27]: i1 ( p, m) i( p, m) ln cp, m( i), (3.7) 39

45 dobija se sljedeći sistem jednačina: 0( pm, ) 1( pm, ) pm, e c (0) e, 1( p, m) 2( p, m) pm, e c (1) e,... M1 ( p, m ) M ( p, m ) pm, e c ( M 1) e. (3.8) Konstante c, () i su date u formi (3.4) koja je pogodna za hardversku realizaciju. pm Kako je 0 ( p, m) ( p, m) i ( pm, ) 0, eksponencijalna funkcija se dobija kao: M ( pm, ) M 1 M ( pm, ) p, m p, m p, m i0 e c (0)... c ( M 1) e c ( i). (3.9) Moguće je smanjiti broj operacija potrebnih za računanje eksponencijalne funkcije koristeći dio proizvoda konstanti kao u (3.2). Naime, računamo proizvod M/2 konstanti koristeći (3.9), dok je za preostalih M/2 konstanti korišćena sljedeća aproksimacija: i i ln(1 2 ) 2. (3.10) Dakle, pri računanju a(i) u Koraku 2 iterativne procedure, važi: i 1,ako je i ( pm, ) 2 0 ai () 0,u suprotnom. (3.11) To znači da su elementi a(i) direktno dobijeni iz vrijednosti i-og bita frakcionog dijela u ( pm, ). Naime, a(i) ima vrijednost '1' ako je i-ti bit ( pm, ) jednak '1', i suprotno. Odnosno, i ne moramo računati a(i) za i M /2, jer su već sadržani u /2 ( pm, ). Dakle, umjesto M/2 množenja imamo: i M M 1 im/2 M /2 i i ( i1) (1 a( i)2 ) 1 a( i)2 a( i 1) ( pm, ). (3.12) Proizvod prvih M/2 konstanti se koristi iz memorije koja ima /2 2 M elemenata, dok je proizvod preostalih konstanti aproksimiran sa jednim sabiranjem, što je optimalnije za hardversku realizaciju. 40

46 b) Sinusna funkcija: Slična procedura se može koristiti i za jezgra zasnovana na sinusnoj funkciji. Umjesto (3.7) koristimo: Odgovarajući sistem jednačina je: Im{ c ( i)} 1 pm, i1( p, m) i( p, m) tan. (3.13) Re{ cpm, ( i)} e e... e j 0 ( p, m ) j 1 ( p, m) j arg( cpm, (0)) e j 1 ( p, m ) j 2 ( p, m) j arg( cpm, (1)) e j M 1 ( p, m ) j M ( p, m) j arg( cp, m ( M 1)) e,,. (3.14) Uvrštavanjem izraza (3.13) u svaku jednačinu dobijamo: odnosno, za sinusnu funkciju važi: e 1 0 (, ) M j p m j arg( cpm, ( i)) e (3.15) i0 M 1 cpm, () i M i M 1 pm, i0 cpm, () i i0 sin( ( p, m)) Im Im c ( i). Za M>10 i bi ( ) 1,1 korišćena je sljedeća aproksimacija: M1 M1 c ( i) 1 ( b 2 ) p, m i0 i0 i i 2 Slično kao u slučaju eksponencijalne funkcije moguće je smanjenje broja operacija. Naime, računa se c, () i za im/2 (uzmimo da važi M/2>10 i pm M /21 i 2 1 ( bi 2 ) ). Preostali i0 dio se dobija kao: M 1 im/2 M /2 i i ( i1) (1 jb( i)2 ) 1 jb( i)2 jb( i 1) j ( p, m). (3.16) 41

47 gdje b(i) uzima vrijednosti bi ( ) 0, Procedura računanja eksponencijalne funkcije za negativne argumente U definisanoj proceduri za računanje eksponencijalne funkcije posmatrali smo isključivo pozitivne argumente ( pm, ). Računanje eksponencijalne funkcije i za negativne argumente ( p, m) (gdje je ( p, m) 0) je moguće izvesti na jedan od dva načina: Provjeri se da li je argument pozitivan ili negativan. Računa se vrijednost eksponencijalne funkcije za absolutnu vrijednost argumenta. Na izlazu kola, ako je argument pozitivan ostavlja se rezultat vrijednost 1. ( pm, ) e ( pm, ) e. U suprotnom, računa se recipročna Procedura se može proširiti i za negativne argumente tako što se izmjene uslovi u Koraku 2 preko kojih se dobijaju elementi a(i). U tom slučaju elemenat a(i) može uzimati jednu od tri vrijednosti {-1,0,1}, za razliku od situacije kada se javljaju samo pozitivni argumenti gdje a(i) uzima vrijednost 0 ili 1. Međutim, moguće je i na sljedeći način računati eksponencijalnu funkcije i za pozitivne i negativne argumente bez ispitivanja znaka argumenta ili mijenjanja uslova. Naime, dovoljno je promjeniti način dobijanja cjelobrojnog dijela I i frakcionog dijela F u (3.5). Za pozitivni broj cjelobrojni dio je računat odsijecanjem frakcionog dijela, odnosno vrši se zaokruživanje na manji broj. Na primjer za broj 2.88, I=2 i F=0.88. Kod negativnog broja, cjelobrojni dio se zaokružuje na veći broj (za -2.88, I=-2 i F=-0.88). Predloženi način računanja eksponencijalne funkcije podrazumijeva zaokruživanje i pozitivnih i negativnih brojeva na manji broj, odnosno I i F se definišu kao: I p m e (, )log 2, (3.17) F ( p, m)log 2e ( p, m)log 2e. (3.18) 42

48 Dokažimo da ovakav način formiranja I i F može da se koristi za pozitivne i negativne argumente. U slučaju pozitivnih argumenata, (3.17) i (3.18) daju iste rezultate kao i prije modifikacije. Za negativne argumente, tačnost relacija ćemo pokazati računanjem izraza ( pm, ) p( pm, ) e e, (gdje je ( p, m) 0) na dva načina. Prvi, standardnim računanjem p recipročne vrijednosti 1, a drugi modifikovanim definisanjem I i F. p ( pm, ) e Neka su I 1 i F 1 definisani kao u (3.5), dok su I 2 i F 2 definisani preko (3.17) i (3.18). Računanjem recipročne vrijednosti dobijamo: ( pm, ) p( pm, ) ( I1F1)ln 2 1 e e e. (3.19) I 2 1 F e 1 ln 2 Posmatrajmo drugi način dobijanja eksponencijalne funkcije preko modifikovanih relacija za I i F. Važi sljedeće: ( pm, ) ( I2F2 )ln 2 I2 F2 ln 2 e e 2 e. (3.20) Za negativne brojeve znamo da je I 2 negativno, pa prethodni izraz postaje: F2 ln 2 ( pm, ) e e, gdje je I 2 2p = I2. (3.21) I p 2 S obzirom da je I 2 nastalo zaokruživanjem na manji negativni broj, onda važi: Zamjenjujući (3.22) u (3.21) dobija se: odnosno I I 1 i 1 F F. (3.22) 2 p ( pm, ) e (1 F1 )ln 2 e, (3.23) I11 2 ln 2 F1ln 2 F1ln 2 ( pm, ) e e 2e e I1 I1. (3.24) Sređivanjem (3.24) dobijamo isti izraz kao u (3.19), što dokazuje tačnost predložene formule. 43

49 3.2 Hardverska realizacija Cohen-ove klase distribucija U ovom poglavlju je razmatrana hardverska realizacija Cohen-ove klase distribucija, bazirana na predloženoj formi (3.2). Na Slici 3.4 i Slici 3.5 date su blok šeme za serijsku i paralelnu konfiguraciju. Auto-korelaciona funkcija R( n, m ) ulaznog kompleksnog signala se dobija na izlazu Bloka 1 (za obje konfiguracije). Fourier-ova transformacija auto-korelacione funkcije se obavlja u Bloku 2. Ambiguity funkcija A( p, m) dobijena na izlazu Bloka 2 se množi sa funkcijom jezgra (Blok 3). Vremensko-frekvencijska distribucija je dobijena na izlazu Bloka 4. Slika 3.4 Serijska konfiguracija za realizaciju Cohen-ove klase distribucija Slika 3.5 Paralelna konfiguracija za realizaciju Cohen-ove klase distribucija 3.3 Hardver za Ambiguity funkciju Realni i imaginarni dio kompleksnog signala x(n) su na ulazu sistema. Računanje lokalne auto-korelacione funkcije u trenutku n podrazumijeva množenje x(n+m) i x * (n-m), m[-n 2,N 2 ]. Auto korelaciona funkcija se može zapisati u matričnoj formi na sljedeći način: 44

50 * * * x(1) x ( Np) x(2) x ( Np 1) x( Np) x (1) * * * x(2) x ( Np 1) x(3) x ( Np) x( Np 1) x (2) R. * * * x( N Np 1) x ( N) x( N Np 2) x ( N 1) x( N) x ( N Np 1) (3.25) U slučaju paralelne realizacije, broj operacija se može prepoloviti zbog činjenice da elementi R zadovoljavaju Hermitsku simetriju. Realni i imaginarni djelovi elemenata kolona matrice R su dobijeni na izlazu Bloka 1. Da bi se dobila ambiguity funkcija potrebno je naći Fourier-ovu transformaciju kolona matrice R. Brza Fourier-ova transformacija se obavlja u Bloku 2 na čijem izlazu se dobijaju realni i imaginarni dio Ambiguity funkcije. 3.4 Hardverska realizacija funkcije jezgra Kao što je naglašeno, predložena forma Cohen-ove klase distribucija sadrži generalnu formu jezgara baziranih na eksponencijalnoj ili sinusnoj funkciji. Na samom početku dat je kratak opis originalne forme Cohen-ove klase distribucija. Takođe su dati razlozi zbog kojih su takve realizacije neoptimalne za hardversku realizaciju. Razmotrićemo nekolike metode za realizaciju funkcije jezgra među kojima su: Lookup Tables (LUTs), razvoj u Taylor-ov red i polinomijalne aproksimacije. LUTs metod je pogodan ako želimo da sačuvamo vrijednosti određene funkcije jezgra sa fiksnim oblikom i parametrima. Međutim, za različite tipove signala potrebno je naći ne samo optimalan tip jezgra, već i optimalne parametre. Iz tog razloga hardver za Cohen-ovu klasu bi zahtjevao veliki broj memorijskih jedinica. Za svaku vrijednost varijanse jezgra, potrebno je u memoriji smjestiti po jednu matricu. U razmatranoj FPGA tehnologiji, kod koje jedna memorijska jedinica ima elemenata, moglo bi se smjestiti samo četiri matrice veličine 128x128. Takođe, specijalno kolo kontrolne logike bilo bi potrebno za pretraživanje memorije, što dodatno komplikuje dizajn sistema. Ovo su razlozi zbog kojih treba pronaći optimalnije rješenje za realizaciju funkcije jezgra. 45

51 Drugi mogući način realizacije funkcije jezgra bio bi korišćenje razvoja u Taylor-ov red. Eksponencijalna funkcija se može razviti u Taylor-ov red na sljedeći način: i 2 ( pm, ) ( p, m) ( p, m) e 1 ( p, m)..., (3.26) i0 i! 2! dok je razvoj sinusne funkcije u Taylor-ov red dat sa: i0 i 2i1 3 ( 1) ( p, m) ( p, m) sin( ( p, m)) ( p, m)... (3.27) (2i 1)! 3! Koristeći prva četiri člana iz razvoja, zadovoljavajuća tačnost je dobijena za argument u opsegu (-1,1). Kao što se i vidi sa Slike 3.6, razvoj sa četiri člana je jednostavno realizovati. Ako je argument ψ(p,m) van ovog opsega, Taylor-ov red sporije konvergira, što znači da treba uključiti članove višeg reda. Uključivanje članova višeg reda povećava broj operacija, pa samim tim i vrijeme računanja. Na primjer, za argument eksponencijalne funkcije ψ(p,m)=2, korišćenje četiri člana iz razvoja daje rezultat e ψ(p,m) = , dok je tačan rezultat Da bi dobili tačan rezultat potrebno nam je 11 članova iz razvoja, odnosno 10 sabirača i 9 množača. Svaki od ovih jedinica unosi značajno kašnjenje koje želimo da izbjegnemo. Algoritam realizacije u aritmetici sa pomičnim zarezom sa L članova Taylorovog reda je dat na Slici 3.7. Algoritam se može direktno implementirati u VHDL kodu. Zbog jednostavnosti uzeto je da je argument ψ(p,m) pozitivan. Argument je prvo skaliran tako da važi ψ(p,m)<1. Sljedeća notacija je korišćena u algoritmu: skalirajući faktor je označen sa s, dok je r rezultat. Povećavanjem broja članova Taylor-ovog reda L, povećava se preciznost, ali po cijenu kašnjenja i povećanja resursa. Cjelobrojna realizacija Taylor-ovog reda bi ubrzala proceduru, ali bi se oblik jezgra promjenio (Slika 3.8) što bi prouzrokovalo greške u računanju vremensko-frekvencijske distribucije. Slična analiza važi i za jezgra zasnovana na sinusnoj funkciji. Pomenuti metodi (LUTs i Taylor-ov red) su ili limitirani preciznošću računanja ili su zahtjevni za realizaciju uslijed velikog broja potrebnih operacija (sabiranje, množenje). Zbog toga će se za realizaciju funkcije jezgra koristiti prethodno opisani algoritam. Funkcija jezgra je realizovana u Bloku 3. 46

52 a) b) Slika 3.6 Gauss-ovo jezgro a) Originalni oblik b) Cjelobrojna realizacija Slika 3.7 Realizacija Taylor-ovog reda sa četiri člana razvoja 47

53 START ( p, m), L, s 1 Skaliranje ( pm, ) 10 NE DA ( p, m) ( p, m) 10 ( pm, ) 1 NE s=s*e 10 DA ( p, m) ( p, m) 1 s=s*e x ( p, m) r=1+x count=2,n= 1 n<l NE DA o=r x x* ( p, m) / count r=r*s STOP r=o+x count=count+1 n=n+1 Slika 3.8 Algoritam realizacije Taylor-ovog reda sa L članova Taylor-ovog reda Blok 3: Realizacija funkcije jezgra kao i množenje sa Ambiguity funkcijom se obavlja u Bloku 3 (Slika 3.4 i Slika 3.5). Na ulazu ovog bloka su pinovi tip_jezgra i varijansa, preko kojih se računa argument funkcije jezgra. U slučaju eksponencijalne funkcije, opseg argumenta je prvo skaliran na [0,0.69). zatim se takav argument dovodi na dio sistema koji obavlja Korak 2 i Korak 3 iz iterativne procedure (str. 37). Blok šema ovog dijela sistema je predstavljena na Slici 3.9. Svaki od M/2 blokova (B 1,..., B M/2 ) vrši poređenje (Korak 2) i oduzimanje (Korak 3) kao i postavljanje vrijednosti a(i), i[1,m/2]. Kao rezultat dobija se adresa a koja dolazi na ulaz ROM-a, dok je ψ M/2 (p,m) uvećano za 1 i pomnoženo skalirajućim faktorom 2 I. Nakon množenja sa izlazom ROM-a, dobijena je jedna tačka (p,m) funkcije jezgra. Može se zaključiti da realizacija jezgra uključuje M/2 oduzimača, M/2 komparatora, 1 sabirač, 2 množača i 1 memorijsku jedinicu (ROM). Na izlazu Bloka 3 dobija se proizvod Ambiguity funkcije i funkcije jezgra. Treba naglasiti da je za sve tačke jezgra c(p,m) potrebna 48

54 samo jedna memorija sa unaprijed izračunatim konstantama (za paralelnu konfiguraciju postoji memorija sa mogućnošću istovremenog čitanja sa više adresa memorija sa više ulaza i izlaza). Slika 3.9 Blok šema realizacije funkcije jezgra 3.5 Hardver za inverznu 2D Fourier-ovu transformaciju Nakon računanja proizvoda funkcije jezgra i Ambiguity funkcije, potrebno je realizovati inverznu 2D brzu Fourier-ovu transformaciju (2D FT). Ovo je urađeno u Bloku 4. Operacija inverzne 2D FT se može zapisati kao kompozicija inverznih 1D brzih Fourier-ovih transformacija: T T IFFT ( B) IFFT ( IFFT ( B) ), (3.28) 2 gdje B predstavlja proizvoljnu matricu. U našem slučaju, matrica B je proizvod funkcije jezgra i Ambiguity funkcije. Algoritam za računanje inverzne 2D FT se može opisati sljedećim koracima: 1. svaka vrsta matrice B je ulaz IFFT bloka koji obavlja inverznu Fourier-ovu transformaciju, 2. rezultujući nizovi su vrste matrice koju treba transponovati, 3. računanje inverzne Fourier-ove transformacije za sve vrste transponovane matrice. Nakon prvog koraka iz navedenog algoritma, dobija se matrica dimenzija (N-Np+1)xNp koja se smješta u RAM (random access memory). Sljedeći korak je transponovanje matrice. Ovo je jednostavno urađeno preko brojača koji generiše adrese za čitanje memorije. Naime, adrese za čitanje memorije su takve da se iščitavaju kolone matrice, odnosno na izlazu RAMa dobijamo elemente u sljedećem redosljedu: 1, Np+1, 2Np+1, 2, Np+2, 2Np+2 49

55 procedura traje sve dok se ne iščita zadnja kolona. Treba napomenuti da je za paralelnu realizaciju svakoj vrsti matrice B dodijeljen jedan IFFT blok. Da bi se rezultujući nizovi smjestili u memoriju, potrebni su multiplekseri. Nakon smještanja matrice u RAM, drugi i treći korak su identično realizovani i za serijsku i za paralelnu konfiguraciju (Slika 3.10). U slučaju paralelne realizacije, postoje efikasniji metodi transponovanja matrice koje smanjuju kašnjenje i iskorišćenost memorije. Slika 3.10 Blok šema dijela sistema koji obavlja inverznu 2D Fourier-ovu transformaciju 3.6 FPGA implementacija Cohen-ove klase distribucija Postoje različite platforme na kojima bi se mogla zasnivati hardverska realizacija Cohen-ove klase distribucija. Digital signal procesor (DSP) predstavlja mikroprocesor za digitalnu obradu signala i on bi se mogao koristiti za realizaciju jednostavnih operacija. Međutim, iako je njegova cijena u odnosu na ostale platforme znatno niža, realizacija preko kombinacije DSP procesora bi izazvala značajno kašnjenje. Veća brzina i fleksibilnost su mogući upotrebom ASIC-a. Međutim, za razvijanje prototipskog rješenja ova platforma nije dobro rješenje prije svega zbog velikih troškova i značajne količine vremena za implementaciju. Takođe, ASIC ne pruža reprogramibilnost, što u slučaju postojanja greške u dizajnu predstavlja značajan nedostatak. FPGA platforma pruža reprogramibilnost kao i visok stepen paralelizma sličan ASIC-u, ali sa cijenom znatno nižom u odnosu na ASIC. Zbog toga je FPGA platforma pogodno rješenje za razvijanje prototipa koji bi se kasnije mogli mogli implementirati i na ASIC razvojnom okruženju. U ovom dijelu je predstavljena FPGA 50

56 realizacija predložene forme Cohen-ove klase distribucija bazirane na jezgrima eksponencijalnog oblika. Dizajn je implementiran koristeći VHDL u okviru Quartus II v8.0 platforme na 64-bitnom Windows 7. Procesor je Intel Core2Duo sa 3 GHz i 8 GB RAM-a. Moguća su dva načina realizovanja predložene distribucije: serijska i paralelna konfiguracija. Ovdje je implementirana serijska FPGA realizacija, koristeći uređaj iz Stratix III familije čipova. Za paralelnu realizaciju, potreban je čip sa većim brojem logičkih elemenata (kakvi su čipovi iz Stratix V familije). Implementacija serijske konfiguracije je urađena na osnovu blokova opisanih u prethodnim sekcijama. Na ulaz sistema dovodi se N=256 odbiraka 8-bitnog kompleksnog signala signed tipa, pri čemu se posebno dovode realni i imaginarni dio. Iako se broj bita za ulazni signal može jednostavno povećati, korišćeno je 8 bita, jer za razne signale, među kojima su i 3G komunikacioni signali, ovaj broj bita je dovoljan. Na izlazu blokova za računanje autokorelacione funkcije dobijaju se 16 bitni signali. U cilju veće preciznosti ti signali su dalje predstavljeni u aritmetici sa pomičnim zarezom. Vrijednost za Np je Np=128, što rezultira autokorelacionom matricom dimenzija 128x128. Na Slici 3.11 prikazani su moduli implementacije bloka za računanje autokorelacione funkcije. Na njihovim izlazima se dobijaju realni i imaginarni dijelovi elemenata autokorelacione matrice R. Za dobijanje Ambiguity funkcije potrebno je naći Fourier-ovu transformaciju nad kolonama matrice R. Za računanje brze Fourier-ove transformacije koristi se ugrađeni blok u Quartus-u čiji je modul dat na Slici Izbor funkcije jezgra se obavlja u kolu sa Slike 3.13 a.: ulazni pinovi p i m predstavljaju diskretne koordinate p i m, dok ulazni pin kernel određuje izbor funkcije jezgra. Odnosno, u ovom kolu se računa argument eksponencijalne funkcije. Ovdje se razmatraju tri funkcije jezgra: Choi-Williams, Gauss i Radial Gauss. Ulazni pinovi variance1, variance2 and variance3 određuju nagib posmatranih jezgara. FPGA modul realizacije eksponencijalne funkcije je dat na Slici 3.13 b. Ulazni pin ul[31..0] označava argument eksponencijalne funkcije, dok su en i (i[2,21]) pinovi kontrolni signali. Pri realizaciji eksponencijalne funkcije postignuta je velika preciznost koristeći 12 iteracija, odnosno M/2=12. Nakon množenja Ambiguity funkcije sa funkcijom jezgra, računa se inverzna 2D Fourier-ova transformacija. Dio implementacije za računanje inverzne 2D Fourier-ove transformacije je dat na Slici Prvi korak je dobijanje inverzne 1D Fourier-ove 51

57 transformacije za svaku od 128 vrsta, pri čemu svaka vrsta ima 128 elemenata. Dobijeni nizovi se smještaju u RAM. Kolo counter_read generiše adrese za čitanje RAM-a. Operacija čiatnja odgovara operaciji transponovanja. Izlaz RAM-a je ulaz novog FFT bloka gdje se opet računa 1D inverzna Fourier-ova transformacija. Dio kompilacionog reporta dobijenog u Quartus-u za korišćeni čip (EP3SL150F1152I3) je prikazan u Tabeli 3.2. Tabela 3.3 pokazuje iskorišćenost logičkih resursa za razne blokove sistema. Da bi provjerili perfomanse, na ulaz sistema je doveden signal sastavljen od dva linearno frekvencijski modulisana signala sa Gauss-ovim amplitudama. Simulacioni rezultati su dati na Slici Ostvareni protok podataka je 1.6Gbps, dok je kašnjenje rezultata 0.35ms pri brzini 50 MHz. Može se zaključiti da je predloženi dizajn pogodan za aplikacije u realnom vremenu za brzine reda MHz. Neki od signala koji kontrolišu rad sistema i redni broj klokova kada ti signali postaju aktivni su: en_block1: 1 en_fft: 134 kernel: 581 variance1:581 en_mult: 652 enifft1: 658 en_wr: 1045 en_re: enifft2: Slika 3.11 FPGA realizacija autokorelacione funkcije Slika 3.12 Blok za računanje brze Fourier-ove transformacije 52

58 a) b) Slika 3.13 a) FPGA realizacija bloka koji određuje tip jezgra, b) FPGA realizacija eksponencijalne funkcije Slika 3.14 Dio hardverske realizacije bloka koji računa inverznu 2D Fourier-ovu transformaciju 53

59 Tabela 3.2 Karakteristike za čip EP3SL150F1152I3 u serijskoj konfiguraciji EP3SL150F1152I3 Dostupno Iskorišćeno Broj pinova (23%) Kombinaciona ALUT kola 113,600 76,047(67%) Memorijska ALUT kola 56,800 0(0%) Specijalizovani logički registri 113,600 65,203(57%) Tabela 3.3 Iskorišćenost logike za pojedinačne blokove u serijskoj konfiguraciji EP3SL150F1152I3 Iskorišćenost logičkih kola Blok za računanje autokorelacione funkcije 12% FFT blok 24% Blok za računanje eksponencijalne funkcije 11% Blok za inverznu 2D Fourier-ovu transformaciju 48% Slika 3.15 Simulacioni rezultati 54

60 Iako postoje neke hardverske realizacije za jednostavne i često korišćene vremenskofrekvencijske distribucije (spektrogram, Wigner-ova distribucija) nijedna od njih ne predstavlja efikasno rješenje za vremensko-frekvencijsku analizu svih tipova signala [19]- [26]. Razlog su njihovi nedostaci : 1) Spektrogram ima slabu rezoluciju u vremensko-frekvencijskom domenu (osim za signale čija trenutna frekvencija ne zavisi od vremena odnosno konstanta je). 2) Wigner-ova distribucija nije pogodna za analizu multikomponentnih signala koji se često javljaju u prirodi. Razlog je prisustvo kros članova. 3) Hardver za S-metod sa fiksnom dužinom prozora je prilagođen širini auto članova. Signali sa drugačijim karakteristikama bi zahtjevali hardversku optimizaciju. Hardver za adaptivni S- metod postoji, ali je računska kompleksnost uvećana. Još jedna mana realiazcija zasnovanih na S-metodu je ta što marginalni uslovi nisu ispunjeni. Za razliku od navedenih realizacija, predloženi hardver se može koristiti za različite tipove signala i razne aplikacije, jer omogućava automatsko biranje distribucije kao i podešavanje parametara funkcije jezgra. Ovaj hardver takođe uključuje Wigner-ovu distribuciju i spektrogram. Bitno je naglasiti da cilj ovog hardvera nije postizanje manjeg kašnjenja u odnosu na neka jednostavna hardverska rješenja koja zavise od aplikacije, već je cilj definisanje univerzalnog pristupa za hardversku realizaciju velikog broja kvadratnih distribucija. Dakle, iako je kompleksnost uvećana u odnosu na realizacije spektrograma i Wigner-ove distribucije, primjenljivost i relativno malo kašnjenje čine predloženi dizajn univerzalnim analizatorom signala u vremensko-frekvencijskom domenu pogodnim za aplikacije u realnom vremenu. Vizuelizacija rezultata dobijenih na izlazu sistema je urađena pomoću Matlab-a 7. Rezultati su prikazani na Slici Kros članovi u ambiguity domenu (Slika 3.16 a) su eliminisani koristeći Gauss-ovo jezgro. Kao rezultat dobija se vremensko-frekvencijska distribucija (Slika 3.16 b) koja ne sadrži kros članove, dok je koncentracija auto članova očuvana. Za posmatrani signal srednja kvadratna greška koja se javlja uslijed predstavljanja ulaznog signala u aritmetici sa fiksnim zarezom je % od maksimalne vrijednosti signala i može se zanemariti. 55

61 a) b) Slika 3.16 Simulacioni rezultati dobijeni na izlazu sistema: a) Ambiguity funkcija, b) Distribucija iz Cohen-ove klase bazirana na Gauss-ovom jezgru 56

62 Equation Chapter (Next) Section 1 Glava 4 4 Hardverska realizacija robusne forme Cohen ove klase Hardversko rješenje za Cohen-ovu klasu distribucija predstavljeno u trećem poglavlju ne uzima u obzir situaciju kada je signal zahvaćen impulsnim šumom ili kombinacijom Gauss-ovog i impulsnog šuma. Da bi analizirali signal zahvaćen ovim šumovima, potrebno je modifikovati već opisani hardver. Kako je već rečeno, robusna forma Cohen-ove klase distribucija predstavlja inverznu 2D Fourier-ovu transformaciju proizvoda robusne Ambiguity funkcije i funkcije jezgra. Dakle, najprije je potrebno implementirati robusnu formu Ambiguity funkcije. Kao što je objašnjeno u drugom poglavlju, moguće je definisati robusnu formu Ambiguity funkcije preko robusne Wigner-ove distribucije. Međutim, ovaj postupak je računski izuzetno zahtjevan i unosio bi značajno kašnjenje. Zbog toga se pristupa drugačijem računanju robusne forme Ambiguity funkcije. Naime, kako je opisano u drugom poglavlju, moguće je dobiti robusnu formu direktno u ambiguity domenu. Neka je dat diskretni signal x(n) za koji se dobija autokorelaciona matrica R dimenzija NxNp. Da bi se dobila standardna forma Ambiguity funkcije potrebno je naći Fourier-ovu transformaciju kolona matrice R. Ova operacija zapravo predstavlja traženje srednje vrijednosti niza čiji elementi su nastali kao proizvod elemenata autokorelacione matrice sa odgovarajućim eksponencijalnim funkcijama. Umjesto operacije usrednjavanja, za dobijanje median ili L-forme Ambiguity funkcije potrebno je najprije sortirati elemente niza. U slučaju median forme, broj koji predstavlja median zavisi od dužine niza. Ako je broj elemenata niza neparan, median je elemenat na sredini sortiranog niza. U slučaju da imamo paran broj elemenata, median se dobija kao srednja vrijednost dva središnja elementa sortiranog niza. Kod L-forme nakon sortiranja niza, elementi na krajevima se postavljaju na nulu, dok se od preostalih traži srednja vrijednost. Median forma Ambiguity funkcije je data sa: 57

63 N /2 * j2 np/ N x( n m) x ( n m) e AR ( p, m). N /2 nn/2 * j2 np/ N 1 x( n m) x ( n m) e * j2 np/ N x( n m) x ( n m) e nn/2 (4.1) Median forma bilo koje distribucije iz Cohen-ove klase može se definiti kao: N1 N2 pn1m N2 2 N j ( pn km ) N N p CD( n, k) 2 c( p, m) A ( p, m) e, (4.2) R gdje je N 2N1 1 i Np 2N2 1. Modifikujući prethodnu relaciju na način opisan u trećem poglavlju dobijamo median formu Cohen-ove klase distribucija zasnovanih na eksponencijalnim jezgrima: N1 N2 M /21 R M /2 p, m R pn1m N2 i0 j 2 pn j 2 N N km p CD ( n, k) 2 (1 ( p, m)) c ( i) A ( p, m) e. (4.3) Slično se mogu definisati distribucije čija je funkcija jezgra sinusnog oblika. Prednost ovakvog definisanja median forme je u tome što je dovoljno samo promjeniti blokove za računanje Ambiguity funkcije. Ostatak sistema (računanje funkcije jezgra i inverzne 2D Fourier-ove transformacije) je isti, pa ne moramo ulaziti u njegovu implementaciju koja je već opisana u trećem poglavlju. Naglasimo da je u trećem poglavlju implementirana serijska konfiguracija sistema i da će se za takvu konfiguraciju, u ovom poglavlju, opisati računanje L-forme (robusne forme) Ambiguity funkcije. 4.1 Hardverska realizacija median i L forme Cohen-ove klase distribucija Serijska konfiguracija za računanje Cohen-ove klase distribucija se može prikazati blok šemom realizacije kao na Slici 4.1. Dakle, prvo se računa autokorelaciona matrica, pri čemu se na izlazu dobijaju njene kolone. U drugom bloku se računa brza Fourier-ova transformacija kolona. Dobijene transformacije nizova predstavljaju Ambiguity funkciju koja se dalje množi sa funkcijom jezgra i dobijeni proizvod prolazi kroz blok za računanje inverzne 2D Fourier-ove transformacije. 58

64 Dio sistema koji treba promjeniti u cilju realizacije robusne forme je sastavljen od prvog i drugog bloka. Prvi blok ima istu funkciju kao i prije, t.j. računa autokorelacionu funkciju (Slika 4.2). Međutim, potrebno je napraviti vremenski razmak između kolona na izlazu. Naime, potrebno je uvesti kašnjenje unutar samog bloka. Razlog za ovo će biti objašnjen u nastavku. Kašnjenje se može jednostavno realizovati preko D flip flopova ili preko brojača koji će u određenom taktu da propušti signal ka izlazu. signal Računanje autokorelacione funkcije Računanje Ambiguity funkcije 2D IFFT Realizacija funkcije jezgra Slika 4.1 Blok šema realizacije distribucije iz Cohen-ove klase Re{x(n+m)} Re{x*(n-m)} Im{x(n+m)} Im{x*(n-m)} Im{x(n+m)} Re{x*(n-m)} Re{x(n+m)} Im{x*(n-m)} + - Re{R(n,m)} Im{R(n,m)} Slika 4.2 Realizacija autokorelacione funkcije 59

65 4.2 Blok za računanje L-forme Ambiguity funkcije Na izlazu prvog bloka dobijene su kolone autokorelacione matrice. Posmatrajmo,(bez umanjivanja opštosti) autokorelacionu matricu dimenzija 128x128. Odnosno na izlazu dobijamo 128 kolona sa po 128 elemenata. Realizacija L-forme Ambiguity funkcije podrazumijeva sljedeće korake (za svaku od 128 kolona): svaki od 128 elemenata jedne kolone se množi sa odgovarajućim eksponencijalnim funkcijama, zatim se dobijeni proizvodi dovode na ulaz sortera, nakon operacije sortiranja, traži se izlaz L-filtra (ili u slučaju median forme uzme se elemenat na sredini), izlazi L-filtra predstavljaju elemente Ambiguity funkcije. Na slici 4.3 data je blok šema realizacije opisane procedure. U nsatavku će se posebno razmatrati svi djelovi sistema. Slika 4.3 Blok šema realizacije kola za računanje L-forme Ambiguity funkcije Memorija i množenje Uočavamo da na ulazu sistema imamo memoriju. Ova memorija se nalazi nakon Bloka za računanje autokorelacione funkcije. Memorija služi za upisivanje kolona autokorelacione matrice. Ovo je potrebno jer, zbog serijskog toka podataka, moramo naći način razdvajanja kolona da bi se moglo raditi sa nizovima od po 128 odbiraka. Sada se može uočiti potreba za kolom za kašnjenje koje je uvedeno kada se formira izlaz iz Bloka za računanje autokorelacione matrice. Naime, kada se upiše prva kolona u memoriju, prvo se 60

66 mora sačekati da se ona iščita, a zatim je moguće nastaviti rad sa drugom kolonom. Odnosno, tek tada možemo upisati vrijednosti elemenata druge kolone. Ovaj postupak se primjenjuje za svaku kolonu. Memorija se realizuje preko dvije jedinice RAM-a(Slika 4.4), zbog toga što posebno radimo sa realnim i imaginarnim djelovima. Slika 4.4 RAM jedinice u Quartus-u Vrijednosti elemenata jedne kolone nakon iščitavanja iz memorije dolaze na ulaz 128 množača. Svaki element niza se množi sa odgovarajućim elementom niza koje sadrži konstante. Ove konstante predstavljaju eksponencijalne funkcije oblika e j64n/n gdje je N=128, dok n [-63,64]. Nakon množenja, svaki od 128 nizova dolazi na ulaz sortera. Konstante koje predstavljaju eksponencijalne funkcije se realizuju korišćenjem LookUp tabele. LookUp tabela je zapravo memorija iz koje iščitavamo vrijednosti (ROM). Operaciju čitanja kontroliše signal koji predstavlja adresu sa koje se vrši iščitavanje Sorter Sljedeći korak je realizacija sortera. Serijski algoritmi sortera nisu pogodni za hardversku realizaciju. Razlog je što obično uključuju veliki broj iteracija koje unose značajno kašnjenje. Takođe, hardverska realizacija neke petlje je neoptimalna po pitanju 61

67 hardverskih resursa. Zbog toga se izbjegava koriščenje Quick sort i sličnih algoritama u hardveru. Kao alternative, koriste se paralelni algoritmi koji imaju dobre karakteristike u pogledu brzine. Zauzimanje resursa zavisi od broja elemenata niza koji se sortira. U našoj realizaciji elementi niza na ulaz sortera dolaze serijski, pa je potrebno obaviti prelaz sa serisjkog na paralelni tok. Ovo je urađeno uz pomoć brojača, demultipleksera i kola koja uvode kašnjenje. Kao paralelni sorter koristi se Batcher odd even sorter. Ovo je jedan od sortera koje je predložio Batcher, a za koje važi da bez obzira u kakvom je stanju niz na ulazu (da li vise ili manje sortiran), realizacija sortera će uvijek imati isti broj kola i isto kašnjenje za određenu dužinu niza. Na Slici 4.5 data je blok šema realizacije sortera. Slika 4.5 Blok šema realizacije sortera Brojač i demultiplekser (DMUX) Kolo brojača je korišćeno zbog organizacije elemenata koji dolaze na ulaz demultimpleksera. Naime, niz koji se sortira može da ima veliki broj elemenata, pa je moguće da se ne može implementirati demultiplekser sa velikim brojem ulaza. Međutim, takav demultiplekser se može realizovati preko više demultipleksera sa manjim brojem ulaza. Brojač služi da odredi raspored rada demultipleksera. Neka je demultiplekser od 128 ulaza predstavljen preko 8 demultipleksera od po 16 ulaza. Prvo treba da se na izlaz proslijede prvih 16 elemenata, zatim sljedećih 16 elemenata sve dok se ne proslijede zadnjih 16 elemenata. Odnosno, prvo treba da bude aktivan prvi demultiplekser. Kada on završi sa proslijeđivanjem elemenata uključuje se drugi demultiplekser i tako redom. Signale koji kontrolišu kada je neki demultiplekser aktivan generiše brojač. To su signali označeni sa en i, i [1, Ndm], gdje je Ndm broj demultipleksera koji se koriste u realizaciji. 62

68 Kašnjenje Na izlazu demultipleksera dobijamo 128 elemenata niza. Međutim zbog same prirode prelaska sa serijskog na paralelni tok podataka, ti elementi ne dolaze u istom trenutku. Kako je za sortiranje potrebno da na ulazima elementi niza dođu istovremeno, potrebno je naći način sinhronizacije dolaska signala. Ovo je urađeno korišćenjem kola koja unose nejednako kašnjenje, odnosno D flip flopova. Za prvi element niza treba uvesti najveće kašnjenje, jer on mora da sačeka zadnji element niza za koji se ne uvodi kašnjenje. Odnosno broj kola za uvođenje kašnjenja se smanjuje za po jedan počevši od prvog elementa. Na Slici 4.6 prikazana su kola koja služe za unošenje kašnjenja od 4 takta za 32-bitni signal na ulazu. 4 s1[31..0] clock data[31..0] clock inst22 lpm_dff1 DFF q[31..0] clock inst35 clock data[31..0] lpm_dff1 q[31..0] DFF clock data[31..0] clock inst36 lpm_dff1 DFF q[31..0] clock inst37 clock data[31..0] lpm_dff1 q[31..0] DFF Slika 4.6 Moduli za kašnjenje u Quartus-u Batcher odd even sorter Batcher odd even sorter se zasniva na poređenju parova elemenata. Ako imamo par od dva elementa a i b, u slučaju da je a > b, elementi zamjenjuju mjesta. U suprotnom ostaju na svojim pozicijama. VHDL kod koji obavlja opisanu relaciju je: if a>b then temp1:=b; temp2:=a; else temp1:=a; temp2:=b; end if; Poređenje i eventualna zamjena se obavljaju po utvrđenom rasporedu koji zavisi od broja elemenata niza koji se sortira. Princip rada Batcher odd even sortera će biti objašnjen na primjeru sortiranja niza od 8 elemenata. 63

69 Primjer : Dat je niz od 8 elemenata 16,14,34,10,12,32,25,20. Zadatak je sortirati niz korišćenjem Batcher-ovog sortera. Rješenje : U prvom koraku se upoređuju parovi 16,14, 34,10, 12,32, 25,20. Ako je prvi član para veći od drugog zamjenjuju mjesta. Zatim se posmatraju dva podniza koji se sastoje od po četiri člana niza tj. 14,16,10,34, kao i drugi i četvrti. Dobijamo nizove porede drugi i treći član nizova, odnosno 12,32,20,25. Prvo se porede prvi i treći član podniza 10,16,14,34, 12,25,20,32. U sljedećem koraku se 10,14,16,34, 12,20,25,32. Dobijena su dva sortirana podniza. Kada se dobiju dva sortirana podniza od četiri elementa porede se njihovi elementi na istim pozicijama. Posmatrajmo sljedeće podnizove 10,14,16,32, 12,20,25,34. Zatim se uzima podniz od četiri elemenata koji sadrži dva zadnja člana prvog podniza i dva prva člana drugog podniza 16,32,12,20. U ovom podnizu porede se sljedeće pozicije: prva i treća, druga i četvrta, odnosno dobijamo 12,20,16,32. Zadnji korak je poređenje parova koji počinju od druge pozicije niza. Sada ne posmatramo podnizove već čitav niz. Počinjemo sa 10,14,12,20,16,32,25,34, a kao rezultat dobijamo 10,12,14,16,20,25,32,34. Postupak sortiranja za niz od N elemenata se može opisati sljedećim koracima: sortiranje prve polovine niza, sortiranje druge polovine niza, upoređivanje elemenata na neparnim pozicijama, upoređivanje elemenata na parnim pozicijama, 64

70 konačno poređenje. Prva dva koraka podrazumijevaju dobijanje dva sortirana podniza od po N 2 elemenata. Oni se dobijaju sortiranjem parova elemenata niza, zatim djelova niza od po četiri elementa itd. Zatim se obavlja upoređivanje i eventualna zamjena elemenata dobijenih podnizova na neparnim i parnim pozicijama sve dok se ne dođe do koraka kad se upoređuju parovi elemenata počevši od drugog elementa niza. Odnosno, postupak se obavlja za drugi i treći član niza, za četvrti i peti, sve do N-2 i N-1 elementa niza. L kolo Dobijeni sortirani niz dolazi na ulaz L kola. Ovo kolo krajeve sortiranog niza od N elemenata postavlja na nulu, dok od ostalih elemenata treba da nađe srednju vrijednost. Postavljanje elemenata na nulu odgovara neuključivanjem tih signala u dalji rad sistema. Blok šema realizacije L kola koji ne uključuje prvih 8 i zadnjih 8 elemenata je data na Slici 4.7. Slika 4.7 Blok šema realiazcije L kola Dobijanje robusne forme Na izlazu L kola dobijamo jednu tačku. Za jednu kolonu koja je došla na ulaz sistema za računanje L forme postoji 128 sortera i isto toliko L kola. Dakle, na izlazu imamo istovremeno 128 tačaka. S obzirom da je ostatak sistema za računanje distribucije realizovan u serijskoj konfiguraciji, potrebno je preći sa paralelnog toka podataka na serijski. Ovaj prelaz je urađen preko kola multipleksera. Kolo multipleksera ima 2 n ulaza i jedan izlaz. Pored 2 n 65

71 ulaza takođe sadrži jedna ulaz koji određuje koji će se od 2 n ulaza proslijediti na izlaz. Ovaj ulaz se zove kontrolni signal. Kao i u slučaju demultipleksera, u slučaju da ne postoji multiplekser sa dovoljnim brojem ulaza, može se realizovati kao kombinacija više njih. Na Slici 4.8 dat je blok dijagram multipleksera sa 32 ulaza u Quartus-u. Slika 4.8 Kolo multipleksera sa 32 ulaza 66

72 Equation Chapter (Next) Section 1 Glava 5 5 Arhitektura za realizaciju Compressive sensing metode Shannon-ova teorema o odabiranju definiše brzinu odabiranja u sistemima za akviziciju podataka. Većina dosadašnjih instrumenata za akviziciju podataka je bazirana na ovoj teoremi. Kao alternativa Shannon-ovoj teoremi, za jednu široku klasu signala, predloženo je kompresivno odabiranje (compressed sensing) [29]-[38]. Po ovoj teoremi moguća je rekonstrukcija signala sa mnogo manje odbiraka nego što je to u slučaju Shannonove teoreme. Kompresivno odabiranje se zasniva na moćnim i računski veoma složenim optimizacionim algoritmima. U ovom poglavlju biće predstavljeno hardversko rješenje za kompresivno odabiranje. 5.1 Shannon-ova teorema Da bi se obezbjedila rekonstrukcija nekog signala iz njegovih odbiraka neophodno je da bude zadovoljena Teorema o odabiranju, odnosno Shannon-ova teorema. Ona se odnosi na diskretne signale. Dakle, kako je većina signala koje dobijamo u prirodi kontinualnog oblika, važan je način kako iz kontinualne forme dobiti diskretnu, a da se ne izgubi informacija koju signal nosi. Rješenje daje Shannon-ova teorema koja signal u vremenu definiše kao skup odbiraka koji su odabrani sa frekvencijom f 0. Da bi se signal pravilno rekonstruisao po teoremi o odabiranju potrebno je da f 0 zadovoljava sljedeći uslov: f f, (5.1) 0 2 max gdje je f max maksimalna frekvencija signala. Primjetimo, da za signale čije je maksimalna frekvencija reda MHz ili GHz veliki broj odbiraka je potreban za rekonstrukciju signala. Ova teorema može da se primjeni i u slučaju slike. S obzirom da je slika dvodimenzioni signal, jasno je da je i ovdje potreban veliki broj odbiraka (piksela). 67

73 Danas se većina signala dobijenih odabiranjem komprimuje u cilju skladištenja ili slanja. Najčešće, tehnike komprimovanja se zasnivaju na korišćenju nekog transformacionog domena. Naime, signal u nekom transformacionom domenu se može predstaviti sa manje odbiraka nego što je slučaj u vremenskom ili prostornom domenu. U transformacionom domenu, većina koeficijenata je jednaka ili približna nuli, pa se stoga mogu zanemariti. Zanemarivanje koeficijenata čini osnovu kompresionih algoritama. Preostali koeficijenti se čuvaju u memoriji ili se šalju mrežom. Pri rekonstrukciji signala, koriste se sačuvani koeficijenti. Prelaskom iz transformacionog domena u domen signala, dobijamo rekonstruisani signal. 5.2 Kompresivno odabiranje Iz prethodne analize se uočava da se pri akviziciji uzima veliki broj odbiraka koji se kasnije zanemaruju. Cilj kompresivnog odabiranja je da prilikom odabiranja signala uzimamo samo one odbirke signala koji su dovoljni za njegovu rekonstrukciju. Kompresivno odabiranje je metod za akviziciju podataka koji se može koristiti na tzv. razrijeđenim (sparse) signalima. Signali se nazivaju razrijeđeni ako se u nekom transformacionom domenu mogu predstaviti sa manjim brojem odbiraka nego u domenu gdje se vrši akvizicija. Primjer su sinusoide. U vremenskom domenu, gdje se vrši akvizicija, sinusoide imaju veliki broj odbiraka. U frekvencijskom domenu, koji ovdje predstavlja transformacioni domen, sinusoida se može predstaviti sa jednim pikom određene amplitude i frekvencije. Neka je dat diskretni signal x koji se sastoji od N odbiraka i transformacioni domen u kojem se zna da je signal x sparse. Signal x se može predstaviti kao suma bazisnih funkcija transformacionog domena pomnoženih sa odgovarajućim težinskim koeficijentima. U matričnoj formi, pomenuta suma se zapisuje kao: xbx, (5.2) gdje je B matrica (NxN) koja sadrži bazisne funkcije, dok je x f (Nx1) vektor težinskih koeficijenata. Kompresivno odabiranje uvodi pojam nasumičnog odabiranja odbiraka iz signala x. Ovim odbircima odgovara matrica mjerenja. Neka su mjerenja označena sa vektorom y dužine M pri čemu je M<N, dok je odgovarajuća matrica mjerenja Ф (dimenzija MxN), odnosno važi: f 68

74 Koristeći prethodne dvije relacije dobija se sljedeći izraz: yφx. (5.3) yφbx Ax. (5.4) U slučaju da je nepoznat vektor x f, odnosno signal x, potrebno je rješiti sistem od M jednačina sa N nepoznatih. Kako je N>M ovaj sistem je neodređen i može imati veliki broj rješenja. Međutim, ako su zadovoljeni određeni uslovi, koji su zadati u postupku kompresivnog odabiranja, moguće je rekonstruisati signal. Za rekonstrukciju signala koriste se razni optimizacioni algoritmi zahvaljujući kojima dobijamo signal koji uz veću ili manju grešku odgovara originalnom signalu x. f f 5.3 Arhitektura za realizaciju kompresivnog odabiranja Pri realizaciji hardverskog rješenja za kompresivno odabiranje smatraćemo da znamo transformacioni domen u kojem signal, koji se odabira u vremenskom domenu, ima mali broj nenultih transformacionih koeficijenata. Neka su to koeficijenti Fourier-ove transformacije, odnosno u frekvencijskom domenu signal je sparse. Dizajn hardvera za kompesivno odabiranje se može podijeliti na tri dijela: Dio za mjerenje podataka i prelazak u transformacioni domen. Dio za rješavanje optimizacionog problema. Dio za prelazak iz transformacionog u domen gdje se vršilo mjerenje signala. Blok šema realizacije kompresivnog odabiranja je data na Slici 5.1. Šema je realizovana koristeći (5.1)-(5.4). Prvo je od signala u vremenskom domenu koristeći transformacionu matricu B (NxN) računata Fourier-ova transformacija x f. Zatim je od matrice Binv (koja predstavlja inverznu formu B) dobijena matrica A (MxN). Kolone matrice A su slučajno odabrane kolone matrice Binv, zbog toga se koristi blok RAND. Na osnovu tako dobijene matrice A i Fourier-ove transformacije signala x f dobijaju se mjerenja y. Za rješavanje optimizacionog problema pored mjerenja y i matrice A potrebno je formirati 69

75 vektor x f0 koji predstavlja početnu tačku od koga počinje rješavanje optimizacionog problema. Vektor x f0 je zapravo polazna Fourier-ova transformacija koja je dobijena na osnovu mjerenja y i matrice A. Na izlazu bloka koji rješava optimizacioni problem dobija se rekonstruisana Fourier-ova transformacija signala x fr. Vraćanjem u vremenski domen dobija se rekonstruisani signal x r. Notacija { } T označava transponovanje matrice ili vektora. Na Slici 5.1 označeni su vektori i matrice koje je trebalo transponovati u cilju poštovanja pravila matričnog množenja. B B inv x T RAND A x f y x f0 y Optimizacioni metod x fr x r TRANSPONOVANJE A T A B inv Slika 5.1 Blok šema realizacije kompresivnog odabiranja Prvi dio sistema je moguće pojednostaviti u implementaciji. Naime, s obzirom da postoje ugrađena komponenta u Quartus-u za računanje brze Fourier-ove transformacije, pogodnije je nju iskoristiti za dobijanje x f umjesto množenja matrice i niza. Takođe, mjerenja y se mogu dobiti uzimanjem elemenata vektora x na pozicijama koje određuje dobijena slučajna sekvenca u kolu RAND. Na ovaj način smanjili smo broj operacija. Računski najzahtjevniji dio realizacije za kompresivno odabiranje predstavlja rješavanje optimizacionog problema, koji pored standardnih operacija (sabiranje, oduzimanje, množenje i dijeljenje) obavlja i računanje norme vektora, kvadratnog korijena, LU i QR faktorizacije. Osim ovih operacija, u hardverskoj realizaciji kompresivnog odabiranja pojavljuju se i operacije množenja matrice sa vektorom, generisanje slučajnih brojeva i transponovanje matrice. U nastavku će biti opisane pomenute operacije. 70

76 5.4 Množenje matrice sa vektorom Realizacija množenja matrice sa vektorom je objašnjena na primjeru množenja matrice A (MxN) i vektora x f (Nx1). Množenje matrice i vektora datih dimenzija je ilustrovano na Slici 5.2. Matrica se iščitava po redovima. Elementi i-og reda se množe sa i-im elementom vektora x f i dobijeni proizvodi se redom sabiraju. Na ovaj način dobija se i-ti element vektora y koji predstavlja proizvod matrice i vektora. Nakon iščitavanja čitavog reda matrice prelazi se na sljedeći red matrice kao i na sljedeći element vektora x f sve dok se ne iščita čitava matrica. M... x N = +... M N Slika 5.2 Ilustracija množenja matrice i vektora Na Slici 5.3 data je šematska realizacija množenja matrice i vektora čiji su elementi kompleksni brojevi. Posebno se radi sa realnim i imaginarnim djelovima dva elementa koja se množe kao što se može i vidjeti na slici. Pored ugrađenih komponenti u Quartus-u (sabirač i množač) realizovano je kolo mnozenje_mv čiji je kod dat u nastavku. U ovom kolu se vrši sabiranje proizvoda u cilju dobijanja elementa rezultujućeg niza. Za realizaciju ovog kola korišćen je VHDL kod umjesto šematske realizacije. U VHDL kodu je integrisana ugrađena komponenta za sabiranje brojeva sa pomičnim zarezom. Broj N određuje broj kolona matrice i dužinu vektora koji se množe. Kako sabirač ima kašnjenje od 8 taktova, ako postavimo generičku promjenljivu N na 128, to znači da radimo sa vektorom čija je dužina

77 Slika 5.3 FPGA implementacija množenja matrice i vektora čiji su elementi kompleksni brojevi library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.nizovi_fp.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; entity mnozenje_mv is generic( N : integer := 128 ); port( clk :in std_logic; enable :in std_logic; proizvod_real :in std_logic_vector(31 downto 0); proizvod_imag :in std_logic_vector(31 downto 0); out_real :out std_logic_vector(31 downto 0); out_imag :out std_logic_vector(31 downto 0) ); end mnozenje_mv; architecture arh of mnozenje_mv is component altfp_add_sub generic ( 72

78 denormal_support : string := "YES"; intended_device_family : string := "unused"; direction : string := "ADD"; exception_handling : string := "YES"; optimize : string := "SPEED"; pipeline : natural := 7; reduced_functionality : string := "NO"; rounding : string := "TO_NEAREST"; speed_optimized : string := "STRATIX_ONLY"; width_exp : natural := 8; width_man : natural := 23; lpm_hint : string := "UNUSED"; lpm_type : string := "altfp_add_sub" ); port( aclr : in std_logic := '0'; add_sub : in std_logic := '1'; clk_en : in std_logic := '1'; clock : in std_logic; dataa : in std_logic_vector(width_exp+width_man+1-1 downto 0); datab : in std_logic_vector(width_exp+width_man+1-1 downto 0); denormal : out std_logic; indefinite : out std_logic; nan : out std_logic; overflow : out std_logic; result : out std_logic_vector(width_exp+width_man+1-1 downto 0); underflow : out std_logic; zero : out std_logic ); end component; shared variable reset shared variable broj :std_logic; :integer:=0; shared variable suma_r :std_logic_vector(31 downto 0); shared variable suma_i :std_logic_vector(31 downto 0); shared variable temp_r :std_logic_vector(31 downto 0); shared variable temp_i :std_logic_vector(31 downto 0); begin process(clk) begin if rising_edge(clk) and enable='1' then if reset='1' then suma_r:=(others=>'0'); suma_i:=(others=>'0'); broj:=0; reset:='0'; elsif broj<=n-1 and reset='0' then suma_r:=temp_r; suma_i:=temp_i; broj:=broj+1; elsif broj=n and reset='0' then reset:='1'; out_real<=suma_r; out_imag<=suma_i; end if; end if; 73

79 end process; sabirac_r: altfp_add_sub port map(clock=>clk, dataa=>proizvod_real, datab=>suma_r, result=>temp_r); sabirac_i: altfp_add_sub port map(clock=>clk, dataa=>proizvod_imag, datab=>suma_i, result=>temp_i); end arh; 5.5 Transponovanje matrice Postoje različite metode realizacije transponovanja matrice. U slučaju matrica velikih dimenzija pogodnije je realizovati serijsku konfiguraciju sistema. Kod takve konfiguracije najprostije rješenje za realizaciju operacije transponovanja je korišćenje memorije. Naime, prvo se vrste matrice učitaju u memorijsku jedinicu, a zatim se iščitavaju kolone matrice. Upisivanje i iščitavanje memorije je obavljeno preko brojača. Tokom čitanja matrice A dimenzija MxN brojač generiše adrese tako da se čitaju elementi na pozicijama 1, N+1, 2N+1,..., 2, N+2, 2N+2..., koji odgovaraju kolonama matrice. 5.6 Generisanje slučajnih brojeva Matrica A se dobija slučajnim odabirom kolona matrice B. Jedan od načina dobijanja slučajne sekvence je korišćenjem Lookup tabele i nekog programskog alata. Na primjer, moguće je korišćenjem ugrađene funkcije rand u Matlab-u dobiti vektor slučajnih brojeva određenih dimenzija i na određenom intervalu. Dobijena sekvenca se skladišti u memoriji (Lookup tabela) i svaki put kada je potrebno dobiti matricu A, memorija se iščita. Iako je ovo rješenje jednostavno implementirati, korišćenje uvijek iste sekvence predstavlja veliki nedostatak. Drugi način dobijanja slučajne sekvence je korišćenjem generatora slučajnih brojeva. Postoje dvije vrste generatora. Prva vrsta su generatori koji stvaraju slučajnu sekvencu korišćenjem fizičkih procesa. Naime, napravi se električno kolo koje generiše termički šum za koji je poznato da ima Gauss-ovu raspodjelu. Zatim se dobijeni signal preko pojačavača, A/D konvertora i drugih kola pretvara u sekvencu slučajnih brojeva. Ovakvi generatori imaju dobre statističke karakteristike, međutim za hardversku realizaciju pogodnija je druga vrsta generatora koja se zove pseudo generatori. Kako im samo ime pseudo kaže, ova vrsta generatora pokušava da dobije sekvencu čije će karakteristike zadovoljavati tražene 74

80 statističke osobine. Neki od pseudo generatora su: linearni feedback shift registar (LFSR), Linear congruential generator i Blum Blum Shub generator. Za generisanje slučajne sekvence potrebne za formiranje matrice A može se izabrati bilo koji od pseudo generatora, pri čemu treba imati na umu njihove prednosti i mane Linearni feedback shift registar Linearni feedback shift registar je jedan od generatora pseudo slučajnih brojeva. Zasniva se na korišćenju operacije pomjeranja i D flip flopova. Linearni feedback shift registar predstavlja n-bitni registar koji generiše slučajne brojeve u opsegu 2 n -1. Njegov ulazni bit je linearna funkcija nekih od prethodnih bita. Kao linearna funkcija bita koristi se XOR funkcija. Koji prethodni biti ulaze u XOR kapiju određuje primitivni polinom 1+a 1 x a n-1 x n-1 + x n, gdje a i (i[1,n-1]) može da ima vrijednost 0 ili 1. Preko ovih vrijednosti određuje se koji biti ulaze u XOR kapiju. Uočavamo da bit najveće i bit najmanje težine uvijek ulaze u XOR kapiju. Postoje dva načina realizacije linearnog feedback shift registra eksterna i interna realizacija. Kod eksterne konfiguracije izlaz XOR kapije može biti vezan samo za ulazni bit, dok kod interne izlaz XOR kapije može biti ulaz nekog od unutrašnjih D flip flopova. Na Slici 5.4 data su dva primjera eksterne i interne realizacije 3-bitnog linearnog feedback shift registra. takt D 0 Q 0 D 1 Q 1 D 2 Q 2 a) 75

81 D 0 Q 0 D 1 Q 1 D 2 Q 2 takt b) Slika 5.4 a) Eksterna konfiguracija LFSR, b) Interna konfiguracija LFSR Nakon biranja početne vrijednosti za sekvencu dolazi do generisanja novih sekvenci po utvrđenom rasporedu. Prednost ovog generatora je mala iskorišćenost resursa. Iako ovaj generator jeste deterministički, jer se zna pravilo dobijanja nove sekvence, dobijeni rezultati daju zadovoljavajuće statističke karakteristike što je veći broj bita n. Na statističke karakteristike utiče i izbor polinoma koji određuje koji biti ulaze u XOR kapiju. Postoje tabele koje se mogu koristiti gdje je za dati broj bita označen optimalan polinom. Algoritam jedne moguće realizacije linearnog feedback shift registra se može opisati sljedećim relacijama: x( 0) x( n 1) x( n 5) x( 0 ), x( n 1:1) x( n 2: 0). Simulacioni rezultati za 9-bitnu sekvencu dobijeni implementiranjem gornjih relacija u Quartus-u dati su na Slici 5.5. Slika 5.5 Simulacioni rezultati dobijeni za LFSR. 76

82 5.6.2 Linear congruential generator Linear congruential generator pripada generatorima koji slučajne brojeve generišu po nekoj rekurzivnoj relaciji. Za razliku od linearnog feedback shift registra gdje radimo sa bitima, ovaj generator formira novi broj u i+1 trenutku na osnovu broja u i-om trenutku po formuli: xi1 ( axi c)mod m, (5.5) gdje su a, c i m cijeli brojevi. Izbor parametara a, c i m utiče na statističke karakteristike dobijene sekvence. Kao i u slučaju linearnog feedback shift registra formirane su tabele koje sadrže optimalne kombinacije za neke vrijednosti a, c i m. Takođe, treba izabrati pogodnu vrijednost za broj x 0 od koga počinje rekurzija. Treba napomenuti da sa izborom parametra m podešavamo opseg slučajne sekvence. Naime, za određeno m, brojevi se jedino mogu nalaziti u opsegu [0, m-1]. Iako su statističke karakteristike sa optimalnim izborom parametara bolje nego u slučaju linearnog feedback shift registra, ovaj generator zauzima vise resursa, jer uključuje operacije kao što su množenje i dijeljenje. Simulacioni rezultati dobijeni za c=0, a=35, m=512 dati su na Slici 5.6. Slika 5.6 Simulacioni rezultati dobijeni za linear congruential generator Blum Blum Shub generator Kao i linear congruential generator, Blum Blum Shub generator pripada grupi determinističkih generatora zasnovanih na rekurziji. Kod ovog generatora, relacija koja povezuje dva uzastopna broja je: 2 i xi1 x mod M, (5.6) 77

ZANIMLJIV NAČIN IZRAČUNAVANJA NEKIH GRANIČNIH VRIJEDNOSTI FUNKCIJA. Šefket Arslanagić, Sarajevo, BiH

ZANIMLJIV NAČIN IZRAČUNAVANJA NEKIH GRANIČNIH VRIJEDNOSTI FUNKCIJA. Šefket Arslanagić, Sarajevo, BiH MAT-KOL (Banja Luka) XXIII ()(7), -7 http://wwwimviblorg/dmbl/dmblhtm DOI: 75/МК7A ISSN 5-6969 (o) ISSN 986-588 (o) ZANIMLJIV NAČIN IZRAČUNAVANJA NEKIH GRANIČNIH VRIJEDNOSTI FUNKCIJA Šefket Arslanagić,

More information

Projektovanje paralelnih algoritama II

Projektovanje paralelnih algoritama II Projektovanje paralelnih algoritama II Primeri paralelnih algoritama, I deo Paralelni algoritmi za množenje matrica 1 Algoritmi za množenje matrica Ovde su data tri paralelna algoritma: Direktan algoritam

More information

Mathcad sa algoritmima

Mathcad sa algoritmima P R I M J E R I P R I M J E R I Mathcad sa algoritmima NAREDBE - elementarne obrade - sekvence Primjer 1 Napraviti algoritam za sabiranje dva broja. NAREDBE - elementarne obrade - sekvence Primjer 1 POČETAK

More information

Algoritam za množenje ulančanih matrica. Alen Kosanović Prirodoslovno-matematički fakultet Matematički odsjek

Algoritam za množenje ulančanih matrica. Alen Kosanović Prirodoslovno-matematički fakultet Matematički odsjek Algoritam za množenje ulančanih matrica Alen Kosanović Prirodoslovno-matematički fakultet Matematički odsjek O problemu (1) Neka je A 1, A 2,, A n niz ulančanih matrica duljine n N, gdje su dimenzije matrice

More information

PRIPADNOST RJEŠENJA KVADRATNE JEDNAČINE DANOM INTERVALU

PRIPADNOST RJEŠENJA KVADRATNE JEDNAČINE DANOM INTERVALU MAT KOL Banja Luka) ISSN 0354 6969 p) ISSN 1986 58 o) Vol. XXI )015) 105 115 http://www.imvibl.org/dmbl/dmbl.htm PRIPADNOST RJEŠENJA KVADRATNE JEDNAČINE DANOM INTERVALU Bernadin Ibrahimpašić 1 Senka Ibrahimpašić

More information

Miloš Brajović REKURZIVNO IZRAČUNAVANJE VREMENSKO-FREKVENCIJSKIH REPREZENTACIJA. magistarski rad

Miloš Brajović REKURZIVNO IZRAČUNAVANJE VREMENSKO-FREKVENCIJSKIH REPREZENTACIJA. magistarski rad UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET Miloš Brajović REKURZIVNO IZRAČUNAVANJE VREMENSKO-FREKVENCIJSKIH REPREZENTACIJA magistarski rad Podgorica, 23. UNIVERZITET CRNE GORE ELEKTROTEHNIČKI FAKULTET

More information

Fajl koji je korišćen može se naći na

Fajl koji je korišćen može se naći na Machine learning Tumačenje matrice konfuzije i podataka Fajl koji je korišćen može se naći na http://www.technologyforge.net/datasets/. Fajl se odnosi na pečurke (Edible mushrooms). Svaka instanca je definisana

More information

Red veze za benzen. Slika 1.

Red veze za benzen. Slika 1. Red veze za benzen Benzen C 6 H 6 je aromatično ciklično jedinjenje. Njegove dve rezonantne forme (ili Kekuléove structure), prema teoriji valentne veze (VB) prikazuju se uobičajeno kao na slici 1 a),

More information

TEORIJA SKUPOVA Zadaci

TEORIJA SKUPOVA Zadaci TEORIJA SKUPOVA Zadai LOGIKA 1 I. godina 1. Zapišite simbolima: ( x nije element skupa S (b) d je član skupa S () F je podskup slupa S (d) Skup S sadrži skup R 2. Neka je S { x;2x 6} = = i neka je b =

More information

Slika 1. Slika 2. Da ne bismo stalno izbacivali elemente iz skupa, mi ćemo napraviti još jedan niz markirano, gde će

Slika 1. Slika 2. Da ne bismo stalno izbacivali elemente iz skupa, mi ćemo napraviti još jedan niz markirano, gde će Permutacije Zadatak. U vreći se nalazi n loptica različitih boja. Iz vreće izvlačimo redom jednu po jednu lopticu i stavljamo jednu pored druge. Koliko različitih redosleda boja možemo da dobijemo? Primer

More information

Kompresija slike pomoću Wavelet transformacije

Kompresija slike pomoću Wavelet transformacije INFOTEH-JAHORINA Vol. 13, March 2014. Kompresija slike pomoću Wavelet transformacije Sanja Golubović Računarska tehnika Visoka škola elektrotehnike i računarstva strukovnih studija Beograd, Srbija sgolubovic@viser.edu.rs

More information

KLASIFIKACIJA NAIVNI BAJES. NIKOLA MILIKIĆ URL:

KLASIFIKACIJA NAIVNI BAJES. NIKOLA MILIKIĆ   URL: KLASIFIKACIJA NAIVNI BAJES NIKOLA MILIKIĆ EMAIL: nikola.milikic@fon.bg.ac.rs URL: http://nikola.milikic.info ŠTA JE KLASIFIKACIJA? Zadatak određivanja klase kojoj neka instanca pripada instanca je opisana

More information

ANALYSIS OF INFLUENCE OF PARAMETERS ON TRANSFER FUNCTIONS OF APERIODIC MECHANISMS UDC Života Živković, Miloš Milošević, Ivan Ivanov

ANALYSIS OF INFLUENCE OF PARAMETERS ON TRANSFER FUNCTIONS OF APERIODIC MECHANISMS UDC Života Živković, Miloš Milošević, Ivan Ivanov UNIVERSITY OF NIŠ The scientific journal FACTA UNIVERSITATIS Series: Mechanical Engineering Vol.1, N o 6, 1999 pp. 675-681 Editor of series: Nenad Radojković, e-mail: radojkovic@ni.ac.yu Address: Univerzitetski

More information

Šime Šuljić. Funkcije. Zadavanje funkcije i područje definicije. š2004š 1

Šime Šuljić. Funkcije. Zadavanje funkcije i područje definicije. š2004š 1 Šime Šuljić Funkcije Zadavanje funkcije i područje definicije š2004š 1 Iz povijesti Dvojica Francuza, Pierre de Fermat i Rene Descartes, posebno su zadužila matematiku unijevši ideju koordinatne metode

More information

Metode praćenja planova

Metode praćenja planova Metode praćenja planova Klasična metoda praćenja Suvremene metode praćenja gantogram mrežni dijagram Metoda vrednovanja funkcionalnosti sustava Gantogram VREMENSKO TRAJANJE AKTIVNOSTI A K T I V N O S T

More information

KVADRATNE INTERPOLACIJSKE METODE ZA JEDNODIMENZIONALNU BEZUVJETNU LOKALNU OPTIMIZACIJU 1

KVADRATNE INTERPOLACIJSKE METODE ZA JEDNODIMENZIONALNU BEZUVJETNU LOKALNU OPTIMIZACIJU 1 MAT KOL (Banja Luka) ISSN 0354 6969 (p), ISSN 1986 5228 (o) Vol. XXII (1)(2016), 5 19 http://www.imvibl.org/dmbl/dmbl.htm KVADRATNE INTERPOLACIJSKE METODE ZA JEDNODIMENZIONALNU BEZUVJETNU LOKALNU OPTIMIZACIJU

More information

Osnove telekomunikacija Osnove obrade signala potrebne za analizu modulacijskih tehnika prof. dr. Nermin Suljanović

Osnove telekomunikacija Osnove obrade signala potrebne za analizu modulacijskih tehnika prof. dr. Nermin Suljanović Osnove telekomunikacija Osnove obrade signala potrebne za analizu modulacijskih tehnika prof. dr. Nermin Suljanović Osnovni pojmovi Kontinualna modulacija je sistematična promjena signala nosioca u skladu

More information

Metode izračunavanja determinanti matrica n-tog reda

Metode izračunavanja determinanti matrica n-tog reda Osječki matematički list 10(2010), 31 42 31 STUDENTSKA RUBRIKA Metode izračunavanja determinanti matrica n-tog reda Damira Keček Sažetak U članku su opisane metode izračunavanja determinanti matrica n-tog

More information

BROJEVNE KONGRUENCIJE

BROJEVNE KONGRUENCIJE UNIVERZITET U NOVOM SADU PRIRODNO-MATEMATIČKI FAKULTET DEPARTMAN ZA MATEMATIKU I INFORMATIKU Vojko Nestorović BROJEVNE KONGRUENCIJE - MASTER RAD - Mentor, dr Siniša Crvenković Novi Sad, 2011. Sadržaj Predgovor...............................

More information

LINEARNI MODELI STATISTIČKI PRAKTIKUM 2 2. VJEŽBE

LINEARNI MODELI STATISTIČKI PRAKTIKUM 2 2. VJEŽBE LINEARNI MODELI STATISTIČKI PRAKTIKUM 2 2. VJEŽBE Linearni model Promatramo jednodimenzionalni linearni model. Y = β 0 + p β k x k + ε k=1 x 1, x 2,..., x p - varijable poticaja (kontrolirane) ε - sl.

More information

Hornerov algoritam i primjene

Hornerov algoritam i primjene Osječki matematički list 7(2007), 99 106 99 STUDENTSKA RUBRIKA Hornerov algoritam i primjene Zoran Tomljanović Sažetak. U ovom članku obrad uje se Hornerov algoritam za efikasno računanje vrijednosti polinoma

More information

Osobine metode rezolucije: zaustavlja se, pouzdanost i kompletnost. Iskazna logika 4

Osobine metode rezolucije: zaustavlja se, pouzdanost i kompletnost. Iskazna logika 4 Matematička logika u računarstvu Department of Mathematics and Informatics, Faculty of Science,, Serbia novembar 2012 Rezolucija 1 Metod rezolucije je postupak za dokazivanje da li je neka iskazna (ili

More information

Uvod u relacione baze podataka

Uvod u relacione baze podataka Uvod u relacione baze podataka Ana Spasić 2. čas 1 Mala studentska baza dosije (indeks, ime, prezime, datum rodjenja, mesto rodjenja, datum upisa) predmet (id predmeta, sifra, naziv, bodovi) ispitni rok

More information

A SPECTRAL ATLAS OF λ BOOTIS STARS

A SPECTRAL ATLAS OF λ BOOTIS STARS Serb. Astron. J. 188 (2014), 75-84 UDC 524.3 355.3 DOI: 10.2298/SAJ1488075P Professional paper A SPECTRAL ATLAS OF λ BOOTIS STARS E. Paunzen 1 and U. Heiter 2 1 Department of Theoretical Physics and Astrophysics,

More information

Programiranje u realnom vremenu Bojan Furlan

Programiranje u realnom vremenu Bojan Furlan Programiranje u realnom vremenu Bojan Furlan Tri procesa sa D = T imaju sledeće karakteristike: Proces T C a 3 1 b 6 2 c 18 5 (a) Pokazati kako se može konstruisati ciklično izvršavanje ovih procesa. (b)

More information

MATHEMATICAL ANALYSIS OF PERFORMANCE OF A VIBRATORY BOWL FEEDER FOR FEEDING BOTTLE CAPS

MATHEMATICAL ANALYSIS OF PERFORMANCE OF A VIBRATORY BOWL FEEDER FOR FEEDING BOTTLE CAPS http://doi.org/10.24867/jpe-2018-02-055 JPE (2018) Vol.21 (2) Choudhary, M., Narang, R., Khanna, P. Original Scientific Paper MATHEMATICAL ANALYSIS OF PERFORMANCE OF A VIBRATORY BOWL FEEDER FOR FEEDING

More information

Mehurasto sortiranje Brzo sortiranje Sortiranje učešljavanjem Sortiranje umetanjem. Overviev Problemi pretraživanja Heš tabele.

Mehurasto sortiranje Brzo sortiranje Sortiranje učešljavanjem Sortiranje umetanjem. Overviev Problemi pretraživanja Heš tabele. Bubble sort Razmotrimo još jedan vrlo popularan algoritam sortiranja podataka, vrlo sličan prethodnom algoritmu. Algoritam je poznat pod nazivom Bubble sort algoritam (algoritam mehurastog sortiranja),

More information

Quasi-Newtonove metode

Quasi-Newtonove metode Sveučilište J. J. Strossmayera u Osijeku Odjel za matematiku Milan Milinčević Quasi-Newtonove metode Završni rad Osijek, 2016. Sveučilište J. J. Strossmayera u Osijeku Odjel za matematiku Milan Milinčević

More information

Iskazna logika 1. Matematička logika u računarstvu. oktobar 2012

Iskazna logika 1. Matematička logika u računarstvu. oktobar 2012 Matematička logika u računarstvu Department of Mathematics and Informatics, Faculty of Science,, Serbia oktobar 2012 Iskazi, istinitost, veznici Intuitivno, iskaz je rečenica koja je ima tačno jednu jednu

More information

ANALIZA UČINKOVITOSTI REKONSTRUKCIJE RAZLIČITIH TRANSFORMACIJA KOD SAŽIMAJUĆEG OČITAVANJA U SVRHU REPREZENTACIJE SLIKE

ANALIZA UČINKOVITOSTI REKONSTRUKCIJE RAZLIČITIH TRANSFORMACIJA KOD SAŽIMAJUĆEG OČITAVANJA U SVRHU REPREZENTACIJE SLIKE SVEUČILIŠTE U ZAGREBU FAKULTET ELEKTROTEHNIKE I RAČUNARSTVA DIPLOMSKI RAD br. 1537 ANALIZA UČINKOVITOSTI REKONSTRUKCIJE RAZLIČITIH TRANSFORMACIJA KOD SAŽIMAJUĆEG OČITAVANJA U SVRHU REPREZENTACIJE SLIKE

More information

Sparse representations of signals for information recovery from incomplete data

Sparse representations of signals for information recovery from incomplete data Faculty of Science Department of Mathematics Marko Filipović Sparse representations of signals for information recovery from incomplete data Doctoral thesis Zagreb, 2013. Prirodoslovno-matematički fakultet

More information

BLAST-INDUCED DAMAGE AND ITS IMPACT ON STRUCTURAL STABILITY OF UNDERGROUND EXCAVATIONS UTICAJ MINIRANJA NA STRUKTURNU STABILNOST PODZEMNIH PROSTORIJA

BLAST-INDUCED DAMAGE AND ITS IMPACT ON STRUCTURAL STABILITY OF UNDERGROUND EXCAVATIONS UTICAJ MINIRANJA NA STRUKTURNU STABILNOST PODZEMNIH PROSTORIJA UNDERGROUND MINING ENGINEERING 29 (2016) 33-42 UDK 62 UNIVERSITY OF BELGRADE - FACULTY OF MINING AND GEOLOGY YU ISSN 03542904 Original scientific paper BLAST-INDUCED DAMAGE AND ITS IMPACT ON STRUCTURAL

More information

Ariana Trstenjak Kvadratne forme

Ariana Trstenjak Kvadratne forme Sveučilište Josipa Jurja Strossmayera u Osijeku Odjel za matematiku Sveučilišni preddiplomski studij matematike Ariana Trstenjak Kvadratne forme Završni rad Osijek, 014. Sveučilište Josipa Jurja Strossmayera

More information

Ivan Soldo. Sažetak. U članku se analiziraju različiti načini množenja matrica. Svaki od njih ilustriran je primjerom.

Ivan Soldo. Sažetak. U članku se analiziraju različiti načini množenja matrica. Svaki od njih ilustriran je primjerom. Osječki matematički list 5(005), 8 Različiti načini množenja matrica Ivan Soldo Sažetak U članku se analiziraju različiti načini množenja matrica Svaki od njih ilustriran je primjerom Ključne riječi: linearni

More information

REVIEW OF GAMMA FUNCTIONS IN ACCUMULATED FATIGUE DAMAGE ASSESSMENT OF SHIP STRUCTURES

REVIEW OF GAMMA FUNCTIONS IN ACCUMULATED FATIGUE DAMAGE ASSESSMENT OF SHIP STRUCTURES Joško PAUNOV, Faculty of Mechanical Engineering and Naval Architecture, University of Zagreb, Ivana Lučića 5, H-10000 Zagreb, Croatia, jparunov@fsb.hr Maro ĆOAK, Faculty of Mechanical Engineering and Naval

More information

Signal s(t) ima spektar S(f) ograničen na opseg učestanosti (0 f m ). Odabiranjem signala s(t) dobijaju se 4 signala odbiraka: δ(t kt s τ 2 ),

Signal s(t) ima spektar S(f) ograničen na opseg učestanosti (0 f m ). Odabiranjem signala s(t) dobijaju se 4 signala odbiraka: δ(t kt s τ 2 ), Signali i sistemi Signal st ima spektar Sf ograničen na opseg učestanosti 0 f m. Odabiranjem signala st dobijaju se signala odbiraka: s t = st s t = st s t = st s t = st δt k, δt k τ 0, δt k τ i δt k τ,

More information

Geometrijski smisao rješenja sustava od tri linearne jednadžbe s tri nepoznanice

Geometrijski smisao rješenja sustava od tri linearne jednadžbe s tri nepoznanice Osječki matematički list 6(2006), 79 84 79 Geometrijski smisao rješenja sustava od tri linearne jednadžbe s tri nepoznanice Zlatko Udovičić Sažetak. Geometrijski smisao rješenja sustava od dvije linearne

More information

AN EXPERIMENTAL METHOD FOR DETERMINATION OF NATURAL CIRCULAR FREQUENCY OF HELICAL TORSIONAL SPRINGS UDC:

AN EXPERIMENTAL METHOD FOR DETERMINATION OF NATURAL CIRCULAR FREQUENCY OF HELICAL TORSIONAL SPRINGS UDC: UNIVERSITY OF NIŠ The scientific journal FACTA UNIVERSITATIS Series: Mechanical Engineering Vol.1, N o 5, 1998 pp. 547-554 Editor of series: Nenad Radojković, e-mail: radojkovic@ni.ac.yu Address: Univerzitetski

More information

U X. 1. Multivarijantna statistička analiza 1

U X. 1. Multivarijantna statistička analiza 1 . Multivarijantna statistička analiza Standardizovana (normalizovana) vrednost obeležja Normalizovano odstupanje je mera varijacije koja pokazuje algebarsko odstupanje jedne vrednosti obeležja od aritmetičke

More information

ALGORITMI PODIJELI PA VLADAJ

ALGORITMI PODIJELI PA VLADAJ SVEUČILIŠTE U ZAGREBU PRIRODOSLOVNO MATEMATIČKI FAKULTET MATEMATIČKI ODSJEK Petra Penzer ALGORITMI PODIJELI PA VLADAJ Diplomski rad Voditelj rada: izv.prof.dr.sc. Saša Singer Zagreb, rujan 2016. Ovaj diplomski

More information

ANALYSIS OF THE RELIABILITY OF THE "ALTERNATOR- ALTERNATOR BELT" SYSTEM

ANALYSIS OF THE RELIABILITY OF THE ALTERNATOR- ALTERNATOR BELT SYSTEM I. Mavrin, D. Kovacevic, B. Makovic: Analysis of the Reliability of the "Alternator- Alternator Belt" System IVAN MAVRIN, D.Sc. DRAZEN KOVACEVIC, B.Eng. BRANKO MAKOVIC, B.Eng. Fakultet prometnih znanosti,

More information

Vedska matematika. Marija Miloloža

Vedska matematika. Marija Miloloža Osječki matematički list 8(2008), 19 28 19 Vedska matematika Marija Miloloža Sažetak. Ovimčlankom, koji je gradivom i pristupom prilagod en prvim razredima srednjih škola prikazuju se drugačiji načini

More information

DYNAMIC HEAT TRANSFER IN WALLS: LIMITATIONS OF HEAT FLUX METERS

DYNAMIC HEAT TRANSFER IN WALLS: LIMITATIONS OF HEAT FLUX METERS DYNAMI EAT TRANFER IN WALL: LIMITATION OF EAT FLUX METER DINAMIČKI PRENO TOPLOTE U ZIDOVIMA: OGRANIČENJA MERAČA TOPLOTNOG PROTOKA (TOPLOTNOG FLUKA) 1 I. Naveros a, b,. Ghiaus a a ETIL UMR58, INA-Lyon,

More information

ANALYTICAL AND NUMERICAL PREDICTION OF SPRINGBACK IN SHEET METAL BENDING

ANALYTICAL AND NUMERICAL PREDICTION OF SPRINGBACK IN SHEET METAL BENDING ANALYTICAL AND NUMERICAL PREDICTION OF SPRINGBACK IN SHEET METAL BENDING Slota Ján, Jurčišin Miroslav Department of Technologies and Materials, Faculty of Mechanical Engineering, Technical University of

More information

A COMPARATIVE EVALUATION OF SOME SOLUTION METHODS IN FREE VIBRATION ANALYSIS OF ELASTICALLY SUPPORTED BEAMS 5

A COMPARATIVE EVALUATION OF SOME SOLUTION METHODS IN FREE VIBRATION ANALYSIS OF ELASTICALLY SUPPORTED BEAMS 5 Goranka Štimac Rončević 1 Original scientific paper Branimir Rončević 2 UDC 534-16 Ante Skoblar 3 Sanjin Braut 4 A COMPARATIVE EVALUATION OF SOME SOLUTION METHODS IN FREE VIBRATION ANALYSIS OF ELASTICALLY

More information

ALGORITAM FAKTORIZACIJE GNFS

ALGORITAM FAKTORIZACIJE GNFS SVEUČILIŠTE U ZAGREBU FAKULTET ELEKTROTEHNIKE I RAČUNARSTVA ALGORITAM FAKTORIZACIJE GNFS Ivan Fratrić Seminar iz predmeta Sigurnost računalnih sustava ZAGREB, Sažetak Faktorizacija brojeva jedan je od

More information

The Prediction of. Key words: LD converter, slopping, acoustic pressure, Fourier transformation, prediction, evaluation

The Prediction of. Key words: LD converter, slopping, acoustic pressure, Fourier transformation, prediction, evaluation K. Kostúr, J. et Futó al.: The Prediction of Metal Slopping in LD Coerter on Base an Acoustic ISSN 0543-5846... METABK 45 (2) 97-101 (2006) UDC - UDK 669.184.224.66:534.6=111 The Prediction of Metal Slopping

More information

Sveučilište J. J. Strossmayera u Osijeku Odjel za matematiku Sveučilišni nastavnički studij matematike i informatike. Sortiranje u linearnom vremenu

Sveučilište J. J. Strossmayera u Osijeku Odjel za matematiku Sveučilišni nastavnički studij matematike i informatike. Sortiranje u linearnom vremenu Sveučilište J. J. Strossmayera u Osijeku Odjel za matematiku Sveučilišni nastavnički studij matematike i informatike Tibor Pejić Sortiranje u linearnom vremenu Diplomski rad Osijek, 2011. Sveučilište J.

More information

Kontrolni uređaji s vremenskom odgodom za rasvjetu i klimu

Kontrolni uređaji s vremenskom odgodom za rasvjetu i klimu KOTROI SKOPOVI ZA RASVJETU I KIMA UREĐAJE Kontrolni i s vremenskom odgodom za rasvjetu i klimu Modularni dizajn, slobodna izmjena konfiguracije Sigurno. iski napon V Efikasno čuvanje energije Sigurnost.

More information

Adaptivna valićna transformacija ostvarena na CUDA arhitekturi

Adaptivna valićna transformacija ostvarena na CUDA arhitekturi SVEUČILIŠTE U ZAGREBU FAKULTET ELEKTROTEHNIKE I RAČUNARSTVA ZAVRŠNI RAD br. 2128 Adaptivna valićna transformacija ostvarena na CUDA arhitekturi Matija Osrečki Zagreb, lipanj 2011. Umjesto ove stranice

More information

HENDERSON'S APPROACH TO VARIANCE COMPONENTS ESTIMATION FOR UNBALANCED DATA UDC Vera Djordjević, Vinko Lepojević

HENDERSON'S APPROACH TO VARIANCE COMPONENTS ESTIMATION FOR UNBALANCED DATA UDC Vera Djordjević, Vinko Lepojević FACTA UNIVERSITATIS Series: Economics and Organization Vol. 2, N o 1, 2003, pp. 59-64 HENDERSON'S APPROACH TO VARIANCE COMPONENTS ESTIMATION FOR UNBALANCED DATA UDC 519.233.4 Vera Djordjević, Vinko Lepojević

More information

Metrički prostori i Riman-Stiltjesov integral

Metrički prostori i Riman-Stiltjesov integral Metrički prostori i Riman-Stiltjesov integral Sadržaj 1 Metrički prostori 3 1.1 Primeri metričkih prostora................. 3 1.2 Konvergencija nizova i osobine skupova...................... 12 1.3 Kantorov

More information

FIZIKALNA KOZMOLOGIJA VII. VRLO RANI SVEMIR & INFLACIJA

FIZIKALNA KOZMOLOGIJA VII. VRLO RANI SVEMIR & INFLACIJA FIZIKALNA KOZMOLOGIJA VII. VRLO RANI SVEMIR & INFLACIJA KOZMIČKI SAT ranog svemira Ekstra zračenje u mjerenju CMB Usporedba s rezultatima LEP-a Usporedba CMB i neutrina Vj.: Pozadinsko zračenje neutrina

More information

Asian Journal of Science and Technology Vol. 4, Issue 08, pp , August, 2013 RESEARCH ARTICLE

Asian Journal of Science and Technology Vol. 4, Issue 08, pp , August, 2013 RESEARCH ARTICLE Available Online at http://www.journalajst.com ASIAN JOURNAL OF SCIENCE AND TECHNOLOGY ISSN: 0976-3376 Asian Journal of Science and Technology Vol. 4, Issue 08, pp.037-041, August, 2013 RESEARCH ARTICLE

More information

Đorđe Đorđević, Dušan Petković, Darko Živković. University of Niš, The Faculty of Civil Engineering and Architecture, Serbia

Đorđe Đorđević, Dušan Petković, Darko Živković. University of Niš, The Faculty of Civil Engineering and Architecture, Serbia FACTA UNIVERSITATIS Series: Architecture and Civil Engineering Vol. 6, N o 2, 2008, pp. 207-220 DOI:10.2298/FUACE0802207D THE APPLIANCE OF INTERVAL CALCULUS IN ESTIMATION OF PLATE DEFLECTION BY SOLVING

More information

NIZOVI I REDOVI FUNKCIJA

NIZOVI I REDOVI FUNKCIJA SVEUČILIŠTE U ZAGREBU PRIRODOSLOVNO MATEMATIČKI FAKULTET MATEMATIČKI ODSJEK Danijela Piškor NIZOVI I REDOVI FUNKCIJA Diplomski rad Voditelj rada: izv. prof. dr. sc. Ljiljana Arambašić Zagreb, rujan 206.

More information

THE ROLE OF SINGULAR VALUES OF MEASURED FREQUENCY RESPONSE FUNCTION MATRIX IN MODAL DAMPING ESTIMATION (PART II: INVESTIGATIONS)

THE ROLE OF SINGULAR VALUES OF MEASURED FREQUENCY RESPONSE FUNCTION MATRIX IN MODAL DAMPING ESTIMATION (PART II: INVESTIGATIONS) Uloga singularnih vrijednosti izmjerene matrice funkcije frekventnog odziva u procjeni modalnog prigušenja (Dio II: Istraživanja) ISSN 33-365 (Print), ISSN 848-6339 (Online) DOI:.7559/TV-2492894527 THE

More information

Realizacija i ocjena MPPT algoritama u fotonaponskom sistemu napajanja

Realizacija i ocjena MPPT algoritama u fotonaponskom sistemu napajanja INFOTEH-JAHORINA Vol., March. Realizacija i ocjena MPPT algoritama u fotonaponskom sistemu napajanja Srđan Lale, Slobodan Lubura, Milomir Šoja Elektrotehnički fakultet, Univerzitet u Istočnom Sarajevu

More information

pretraživanje teksta Knuth-Morris-Pratt algoritam

pretraživanje teksta Knuth-Morris-Pratt algoritam pretraživanje teksta Knuth-Morris-Pratt algoritam Jelena Držaić Oblikovanje i analiza algoritama Mentor: Prof.dr.sc Saša Singer 18. siječnja 2016. 18. siječnja 2016. 1 / 48 Sadržaj 1 Uvod 2 Pretraživanje

More information

Philippe Jodin. Original scientific paper UDC: :519.6 Paper received:

Philippe Jodin. Original scientific paper UDC: :519.6 Paper received: The paper was presented at the Tenth Meeting New Trends in Fatigue and Fracture (NTF0) Metz, France, 30 August September, 00 Philippe Jodin APPLICATION OF NUMERICAL METHODS TO MIXED MODES FRACTURE MECHANICS

More information

Uvod u analizu (M3-02) 05., 07. i 12. XI dr Nenad Teofanov. principle) ili Dirihleov princip (engl. Dirichlet box principle).

Uvod u analizu (M3-02) 05., 07. i 12. XI dr Nenad Teofanov. principle) ili Dirihleov princip (engl. Dirichlet box principle). Uvod u analizu (M-0) 0., 07. i. XI 0. dr Nenad Teofanov. Kardinalni broj skupa R U ovom predavanju se razmatra veličina skupa realnih brojeva. Jasno, taj skup ima beskonačno mnogo elemenata. Pokazaće se,

More information

MUSICAL COMPOSITION AND ELEMENTARY EXCITATIONS OF THE ENVIRONMENT

MUSICAL COMPOSITION AND ELEMENTARY EXCITATIONS OF THE ENVIRONMENT Interdisciplinary Description of Complex Systems (-2), 22-28, 2003 MUSICAL COMPOSITION AND ELEMENTARY EXCITATIONS OF THE ENVIRONMENT Mirna Grgec-Pajić, Josip Stepanić 2 and Damir Pajić 3, * c/o Institute

More information

Analogne modulacije / Analog modulations

Analogne modulacije / Analog modulations Analogne modulacije / Analog modulations Zadatak: Na slici 1 je prikazana blok ²ema prijemnika AM-1B0 signala sa sinhronom demodulacijom. Moduli²u i signal m(t) ima spektar u opsegu ( f m f m ) i snagu

More information

Analysis and Application of FLL based on the Processing of the Input and Output Periods

Analysis and Application of FLL based on the Processing of the Input and Output Periods Online ISSN 1848-3380, Print ISSN 0005-1144 ATKAFF 57(1), 230 238(2016) Djurdje Perišić, Aleksandar Žorić, Marko Perišić, Dragan Mitić Analysis and Application of FLL based on the Processing of the Input

More information

ITERATIVE PROCESSES AND PADÉ APPROXIMANTS UDC (045)=20

ITERATIVE PROCESSES AND PADÉ APPROXIMANTS UDC (045)=20 FACTA UNIVERSITATIS Series: Mechanics, Automatic Control and Robotics Vol. 4, N o 7, 005, pp. 79-85 ITERATIVE PROCESSES AND PADÉ APPROXIMANTS UDC 57.58.8+57.58(045)=0 I. V. Andrianov, J. Awrejcewicz, G.

More information

ODREĐIVANJE DINAMIČKOG ODZIVA MEHANIČKOG SUSTAVA METODOM RUNGE-KUTTA

ODREĐIVANJE DINAMIČKOG ODZIVA MEHANIČKOG SUSTAVA METODOM RUNGE-KUTTA Sveučilište u Zagrebu GraĎevinski faklultet Kolegij: Primjenjena matematika ODREĐIVANJE DINAMIČKOG ODZIVA MEHANIČKOG SUSTAVA METODOM RUNGE-KUTTA Seminarski rad Student: Marija Nikolić Mentor: prof.dr.sc.

More information

Algoritmi za mnoºenje i dijeljenje velikih. brojeva. Marko Pejovi UNIVERZITET CRNE GORE. Prirodno-matemati ki fakultet Podgorica. Podgorica, 2018.

Algoritmi za mnoºenje i dijeljenje velikih. brojeva. Marko Pejovi UNIVERZITET CRNE GORE. Prirodno-matemati ki fakultet Podgorica. Podgorica, 2018. UNIVERZITET CRNE GORE Prirodno-matemati ki fakultet Podgorica Marko Pejovi Algoritmi za mnoºenje i dijeljenje velikih brojeva SPECIJALISTIƒKI RAD Podgorica, 2018. UNIVERZITET CRNE GORE Prirodno-matemati

More information

Nelder Meadova metoda: lokalna metoda direktne bezuvjetne optimizacije

Nelder Meadova metoda: lokalna metoda direktne bezuvjetne optimizacije Osječki matematički list (2), 131-143 Nelder Meadova metoda: lokalna metoda direktne bezuvjetne optimizacije Lucijana Grgić, Kristian Sabo Sažetak U radu je opisana poznata Nelder Meadova metoda, koja

More information

NAPREDNI FIZIČKI PRAKTIKUM 1 studij Matematika i fizika; smjer nastavnički MJERENJE MALIH OTPORA

NAPREDNI FIZIČKI PRAKTIKUM 1 studij Matematika i fizika; smjer nastavnički MJERENJE MALIH OTPORA NAPREDNI FIZIČKI PRAKTIKUM 1 studij Matematika i fizika; smjer nastavnički MJERENJE MALIH OTPORA studij Matematika i fizika; smjer nastavnički NFP 1 1 ZADACI 1. Mjerenjem geometrijskih dimenzija i otpora

More information

Cyclical Surfaces Created by a Conical Helix

Cyclical Surfaces Created by a Conical Helix Professional paper Accepted 23.11.2007. TATIANA OLEJNÍKOVÁ Cyclical Surfaces Created by a Conical Helix Cyclical Surfaces Created by a Conical Helix ABSTRACT The paper describes cyclical surfaces created

More information

Položaj nultočaka polinoma

Položaj nultočaka polinoma Osječki matematički list 4 (204), 05-6 Položaj nultočaka polinoma Mandalena Pranjić Rajna Rajić Sažetak Prema Rolleovom teoremu, bilo koji segment čiji su krajevi međusobno različite realne nultočke polinoma

More information

DETERMINATION OF THE EFFECTIVE STRAIN FLOW IN COLD FORMED MATERIAL

DETERMINATION OF THE EFFECTIVE STRAIN FLOW IN COLD FORMED MATERIAL DETERMINATION OF THE EFFECTIVE STRAIN FLOW IN COLD FORMED MATERIAL Leo Gusel University of Maribor, Faculty of Mechanical Engineering Smetanova 17, SI 000 Maribor, Slovenia ABSTRACT In the article the

More information

Konstrukcija i analiza algoritama

Konstrukcija i analiza algoritama Konstrukcija i analiza algoritama 27. februar 207 Matematička indukcija Princip matematičke indukcije: Da bi za svako n N važilo tvrdjenje T (n) dovoljno je pokazati: bazu indukcije: tvrdjenje T () induktivni

More information

Sveučilište Josipa Jurja Strossmayera u Osijeku Odjel za matematiku

Sveučilište Josipa Jurja Strossmayera u Osijeku Odjel za matematiku Sveučilište Josipa Jurja Strossmayera u Osijeku Odjel za matematiku Valentina Volmut Ortogonalni polinomi Diplomski rad Osijek, 2016. Sveučilište Josipa Jurja Strossmayera u Osijeku Odjel za matematiku

More information

APPROPRIATENESS OF GENETIC ALGORITHM USE FOR DISASSEMBLY SEQUENCE OPTIMIZATION

APPROPRIATENESS OF GENETIC ALGORITHM USE FOR DISASSEMBLY SEQUENCE OPTIMIZATION JPE (2015) Vol.18 (2) Šebo, J. Original Scientific Paper APPROPRIATENESS OF GENETIC ALGORITHM USE FOR DISASSEMBLY SEQUENCE OPTIMIZATION Received: 17 July 2015 / Accepted: 25 Septembre 2015 Abstract: One

More information

Rekurzivni algoritmi POGLAVLJE Algoritmi s rekurzijama

Rekurzivni algoritmi POGLAVLJE Algoritmi s rekurzijama POGLAVLJE 8 Rekurzivni algoritmi U prošlom dijelu upoznali smo kako rekurzije možemo implementirati preko stogova, u ovom dijelu promotriti ćemo probleme koje se mogu izraziti na rekurzivan način Vremenska

More information

SIMBOLIČKO IZRAČUNAVANJE HANKELOVIH DETERMINANTI I GENERALISANIH INVERZA MATRICA

SIMBOLIČKO IZRAČUNAVANJE HANKELOVIH DETERMINANTI I GENERALISANIH INVERZA MATRICA Univerzitet u Nišu Prirodno-Matematički fakultet Marko D. Petković SIMBOLIČKO IZRAČUNAVANJE HANKELOVIH DETERMINANTI I GENERALISANIH INVERZA MATRICA Doktorska disertacija Niš, Jun 2008 Mogućnost simboličkog

More information

Mjerenje snage. Na kraju sata student treba biti u stanju: Spojevi za jednofazno izmjenično mjerenje snage. Ak. god. 2008/2009

Mjerenje snage. Na kraju sata student treba biti u stanju: Spojevi za jednofazno izmjenično mjerenje snage. Ak. god. 2008/2009 Mjerenje snae Ak. od. 008/009 1 Na kraju sata student treba biti u stanju: Opisati i analizirati metode mjerenja snae na niskim i visokim frekvencijama Odabrati optimalnu metodu mjerenja snae Analizirati

More information

Oracle Spatial Koordinatni sustavi, projekcije i transformacije. Dalibor Kušić, mag. ing. listopad 2010.

Oracle Spatial Koordinatni sustavi, projekcije i transformacije. Dalibor Kušić, mag. ing. listopad 2010. Oracle Spatial Koordinatni sustavi, projekcije i transformacije Dalibor Kušić, mag. ing. listopad 2010. Pregled Uvod Koordinatni sustavi Transformacije Projekcije Modeliranje 00:25 Oracle Spatial 2 Uvod

More information

NIVO-SKUP METODE ZA SEGMENTACIJU SLIKA U BOJI

NIVO-SKUP METODE ZA SEGMENTACIJU SLIKA U BOJI UNIVERZITET U BANJOJ LUCI ELEKTROTEHNIČKI FAKULTET STUDIJSKI PROGRAM TELEKOMUNIKACIJE Vladimir Lekić NIVO-SKUP METODE ZA SEGMENTACIJU SLIKA U BOJI magistarski rad Banja Luka, novembar 2011. Tema: NIVO-SKUP

More information

UNIVERZITET U NOVOM SADU PRIRODNO-MATEMATIČKI FAKULTET DEPARTMAN ZA MATEMATIKU I INFORMATIKU

UNIVERZITET U NOVOM SADU PRIRODNO-MATEMATIČKI FAKULTET DEPARTMAN ZA MATEMATIKU I INFORMATIKU UNIVERZITET U NOVOM SADU PRIRODNO-MATEMATIČKI FAKULTET DEPARTMAN ZA MATEMATIKU I INFORMATIKU Ivan Marinković Klasifikacija H-matrica metodom skaliranja i njena primena u odred ivanju oblasti konvergencije

More information

Karakterizacija problema zadovoljenja uslova širine 1

Karakterizacija problema zadovoljenja uslova širine 1 UNIVERZITET U NOVOM SADU PRIRODNO-MATEMATIČKI FAKULTET DEPARTMAN ZA MATEMATIKU I INFORMATIKU Aleksandar Prokić Karakterizacija problema zadovoljenja uslova širine 1 -master rad- Mentor: dr Petar Marković

More information

Neke primene teorije fazi skupova i fazi logike u procesiranju slika

Neke primene teorije fazi skupova i fazi logike u procesiranju slika Univerzitet u Beogradu Matematički fakultet Neke primene teorije fazi skupova i fazi logike u procesiranju slika - Master rad - Nebojša Perić 1024/2013 Beograd, 2014. 2 Mentor: Članovi komisije: Datum

More information

NEURONSKE MREŽE 1. predavanje

NEURONSKE MREŽE 1. predavanje NEURONSKE MREŽE 1. predavanje dr Zoran Ševarac sevarac@gmail.com FON, 2014. CILJ PREDAVANJA I VEŽBI IZ NEURONSKIH MREŽA Upoznavanje sa tehnologijom - osnovni pojmovi i modeli NM Mogućnosti i primena NM

More information

Metoda parcijalnih najmanjih kvadrata: Regresijski model

Metoda parcijalnih najmanjih kvadrata: Regresijski model Sveučilište u Zagrebu Prirodoslovno-matematički fakultet Matematički odsjek Tamara Sente Metoda parcijalnih najmanjih kvadrata: Regresijski model Diplomski rad Voditelj rada: Izv.prof.dr.sc. Miljenko Huzak

More information

Fibonaccijev brojevni sustav

Fibonaccijev brojevni sustav Fibonaccijev brojevni sustav Ljerka Jukić asistentica Odjela za matematiku Sveučilišta u Osijeku, ljukic@mathos.hr Helena Velić studentica Odjela za matematiku Sveučilišta u Osijeku, hvelic@mathos.hr Sažetak

More information

PREGLED ALGORITAMA ZA POVEĆANJE VREMENSKE REZOLUCIJE VIDEOSIGNALA

PREGLED ALGORITAMA ZA POVEĆANJE VREMENSKE REZOLUCIJE VIDEOSIGNALA SVEUČILIŠTE JOSIPA JURJA STROSSMAYERA U OSIJEKU FAKULTET ELEKTROTEHNIKE, RAČUNARSTVA I INFORMACIJSKIH TEHNOLOGIJA Sveučilišni studij PREGLED ALGORITAMA ZA POVEĆANJE VREMENSKE REZOLUCIJE VIDEOSIGNALA Završni

More information

Napredni standard enkripcije (AES)

Napredni standard enkripcije (AES) UNIVERZITET CRNE GORE Prirodno-matematički fakultet Podgorica Dušan Radoičić Napredni standard enkripcije (AES) Specijalistički rad Podgorica, 2013. UNIVERZITET CRNE GORE Prirodno-matematički fakultet

More information

DISKRETNI LOGARITAM. 1 Uvod. MAT-KOL (Banja Luka) ISSN (p), ISSN (o) Vol. XVII (2)(2011), 43-52

DISKRETNI LOGARITAM. 1 Uvod. MAT-KOL (Banja Luka) ISSN (p), ISSN (o) Vol. XVII (2)(2011), 43-52 MAT-KOL (Banja Luka) ISSN 0354-6969 (p), ISSN 1986-5228 (o) Vol. XVII (2)(2011), 43-52 DISKRETNI LOGARITAM Bernadin Ibrahimpašić 1, Dragana Kovačević 2 Abstract U ovom članku se opisuje pojam diskretnog

More information

Zadatci sa ciklusima. Zadatak1: Sastaviti progra koji određuje z ir prvih prirod ih rojeva.

Zadatci sa ciklusima. Zadatak1: Sastaviti progra koji određuje z ir prvih prirod ih rojeva. Zadatci sa ciklusima Zadatak1: Sastaviti progra koji određuje z ir prvih prirod ih rojeva. StrToIntDef(tekst,broj) - funkcija kojom se tekst pretvara u ceo broj s tim da je uvedena automatska kontrola

More information

Yu.G. Matvienko. The paper was presented at the Twelfth Meeting New Trends in Fatigue and Fracture (NT2F12) Brasov, Romania, May, 2012

Yu.G. Matvienko. The paper was presented at the Twelfth Meeting New Trends in Fatigue and Fracture (NT2F12) Brasov, Romania, May, 2012 Yu.G. Matvienko The paper was presented at the Twelfth Meeting New Trends in Fatigue and Fracture (NTF1) Brasov, Romania, 7 30 May, 01 CRACK TP PLASTC ZONE UNDER MODE LOADNG AND THE NON-SNGULAR T zz STRESS

More information

TEHNIČKO REŠENJE. Algoritam za određivanje graničnih linija impedansi za rezistivno-reaktivnu klasu-b/j pojačavača snage

TEHNIČKO REŠENJE. Algoritam za određivanje graničnih linija impedansi za rezistivno-reaktivnu klasu-b/j pojačavača snage TEHNIČKO REŠENJE Algoritam za određianje graničnih linija impedansi za rezistino-reaktinu klasu-b/j pojačaača snage M-85: Prototip, noa metoda, softer, standardizoan ili atestiran instrument, noa genetska

More information

Ksenija Doroslovački KOMBINATORIKA INTERPRETIRANA FUNKCIJAMA I NJIHOVIM OSOBINAMA MASTER RAD. NOVI SAD jun 2008

Ksenija Doroslovački KOMBINATORIKA INTERPRETIRANA FUNKCIJAMA I NJIHOVIM OSOBINAMA MASTER RAD. NOVI SAD jun 2008 1 Ksenija Doroslovački KOMBINATORIKA INTERPRETIRANA FUNKCIJAMA I NJIHOVIM OSOBINAMA MASTER RAD NOVI SAD jun 2008 2 Sadržaj 1 UVOD 5 2 FUNKCIJE 11 3 KLASIČNI KOMBINATORNI OBJEKTI 17 4 NEKI NEKLASIČNI KOMBINATORNI

More information

EXPERIMENTAL ANALYSIS OF THE STRENGTH OF A POLYMER PRODUCED FROM RECYCLED MATERIAL

EXPERIMENTAL ANALYSIS OF THE STRENGTH OF A POLYMER PRODUCED FROM RECYCLED MATERIAL A. Jurić et al. EXPERIMENTAL ANALYSIS OF THE STRENGTH OF A POLYMER PRODUCED FROM RECYCLED MATERIAL Aleksandar Jurić, Tihomir Štefić, Zlatko Arbanas ISSN 10-651 UDC/UDK 60.17.1/.:678.74..017 Preliminary

More information

Konstekstno slobodne gramatike

Konstekstno slobodne gramatike Konstekstno slobodne gramatike Vežbe 07 - PPJ Nemanja Mićović nemanja_micovic@matfbgacrs Matematički fakultet, Univerzitet u Beogradu 4 decembar 2017 Sadržaj Konstekstno slobodne gramatike Rečenična forma

More information

Sveučilište J. J. Strossmayera u Osijeku Odjel za matematiku

Sveučilište J. J. Strossmayera u Osijeku Odjel za matematiku Sveučilište J. J. Strossmayera u Osijeku Odjel za matematiku Mateja Dumić Cjelobrojno linearno programiranje i primjene Diplomski rad Osijek, 2014. Sveučilište J. J. Strossmayera u Osijeku Odjel za matematiku

More information

DISTRIBUIRANI ALGORITMI I SISTEMI

DISTRIBUIRANI ALGORITMI I SISTEMI Postavka 7: međusobno isključivanje sa read/write promenljivama 1 DISTRIBUIRANI ALGORITMI I SISTEMI Iz kursa CSCE 668 Proleće 2014 Autor izvorne prezentacije: Prof. Jennifer Welch Read/Write deljene promenljive

More information

Harun Kuč : Statistika u Excelu. Izdavač : Weling SD Zenica Za izdavača : Damir Bajramović. Recenzenti : Dr. Hasan Zolić Dr.

Harun Kuč : Statistika u Excelu. Izdavač : Weling SD Zenica Za izdavača : Damir Bajramović. Recenzenti : Dr. Hasan Zolić Dr. Harun Kuč : Statistika u Excelu Izdavač : Weling SD Zenica Za izdavača : Damir Bajramović Recenzenti : Dr. Hasan Zolić Dr. Dževad Zečić Lektor : Lejla Kuč Naslovna strana : Mustafa Ganović Štamparija :

More information

ARITMETIČKO LOGIČKA JEDINICA ( ALU ) Davor Bogdanović SVEUČILIŠTE JOSIPA JURJA STROSSMAYERA U OSIJEKU ELEKTROTEHNIČKI FAKULTET. Sveučilišni studij

ARITMETIČKO LOGIČKA JEDINICA ( ALU ) Davor Bogdanović SVEUČILIŠTE JOSIPA JURJA STROSSMAYERA U OSIJEKU ELEKTROTEHNIČKI FAKULTET. Sveučilišni studij SVEUČILIŠTE JOSIPA JURJA STROSSMAYERA U OSIJEKU ELEKTROTEHNIČKI FAKULTET Sveučilišni studij ARITMETIČKO LOGIČKA JEDINICA ( ALU ) Završni rad Davor Bogdanović Osijek, rujan 2010. 1. Uvod -----------------------------------------------------------------------------------------------------------

More information