MODELLING AND CONTROL OF A VORTEX ARC LAMP FOR RTP APPLICATIONS

Size: px
Start display at page:

Download "MODELLING AND CONTROL OF A VORTEX ARC LAMP FOR RTP APPLICATIONS"

Transcription

1 MODELLING AND CONTROL OF A VORTEX ARC LAMP FOR RTP APPLICATIONS by Harpreet Singh Grover A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of Electrical and Computer Engineering University of Toronto Copyright by Harpreet Singh Grover 2014

2 ABSTRACT Modelling and Control of a Vortex Arc lamp for RTP Applications Master of Applied Science 2014 Harpreet Singh Grover Graduate Department of Electrical and Computer Engineering University of Toronto The objective of this thesis is to develop a controller that can ramp the temperature of a semiconductor wafer in a controllable fashion. The semiconductor wafers are heated using vortex arc lamps, placed on either side of the wafer. The wafer is heated from room temperature to an intermediate level of around 900 degrees C in a ramp wise fashion before it undergoes flash annealing from the top surface. This thesis focuses on the control of the bottom lamps during the process of heating to the intermediate phase. The challenge in designing this control system is that the wafer temperature measurements are not available during the initial phase of the ramp and also that the resulting lamp current profile should be smooth and free of fluctuations. To achieve this, a vortex arc lamp model, a semiconductor wafer model and a suitable control strategy has been developed. ii

3 Acknowledgements Firstly, I would like to thank my supervisor Professor Francis Dawson for his great support and guidance throughout the course of this work. I will never be able to thank Prof. Dawson enough for his help. It was a privilege being his student. In addition, I would also like to thank Dave Camm from Mattson Technologies for his extremely valuable technical guidance for the project. I also want to thank Yann Cressault from Paul Sabatier University in Toulouse, France, for his contribution of transport and thermodynamic coefficient data. Finally, I would like to thank Markus Lieberer, Rolf Bremensdorfer from Mattson Technologies and Adrian Amanci from ECE, University of Toronto. Without the help and support of these people, this thesis was not possible. iii

4 Table of Contents List of Figures List of Tables List of Symbols vi x x 1. INTRODUCTION The Apparatus Setup The Control System Thesis Motivation Thesis Objectives Thesis Outline LAMP MODELING Full Lamp Model of the Bottom lamp Region 1: Anode Interfacial Region Model Region 2: Anode Constriction Region Model Region 5: Cathode Interfacial Region Model Region 4: Cathode Constriction Region Model Region 3: Model of the Positive Column The Full Lamp Model Full Lamp Model THERMAL MODELING OF THE WAFER AND QUARTZ SUBSTRATE HOLDER Thermal Model of the Wafer Thermal Model of the Quartz Substrate iv

5 3.3 Validation of the Combined Wafer and Quartz Substrate Models CONTROL OF THE SYSTEM Overall System with Controller Requirements of the Control System Proposed Temperature Controller Performance of the Control System Alternative/ Less Computationally Intense Version of Control System Architecture CONCLUSIONS References..95 Appendix A: Ultra-fast Radiometer...96 Appendix B: Derivation of the Total absorbed heat flux from incident intensity Appendix C: Derivation of the Net emission Coefficient Appendix D: Calculation of attenuation of incident intensity in the outer regions of the lamp..104 Appendix E: Details of the Blocks used in the Temperature Controller v

6 List of Figures Figure 1.1: A comparison of the various annealing techniques; where T is temperature, t is time and d is the distance with respect to the surface of the wafer..1 Figure 1.2: The measured temperature profile of the wafer during the RTP process..3 Figure 1.3: The wafer topside and bottom side temperature during the millisecond RTP. Inset shows the zoomed in version where TI and TP are initial and peak temperatures of the wafer top side during the flash....3 Figure 1.4: The process chamber used for semiconductor wafer annealing...4 Figure 1.5: The measured current through each of the top and bottom lamps during the process. Note that the current through the top lamps is raised prior to injecting the current pulse. This is done to increase the arc radius and stabilize it in preparation for the flash....4 Figure 1.6: The Process chamber in detail...6 Figure 1.7: the arc lamp structure showing the circulating contents inside.7 Figure 1.8: The Process chamber in detail...8 Figure 1.9: Control system overview.10 Figure 2.1: The lamp and its circulating contents..14 Figure 2.2: Five regions of the lamp.14 Figure 2.3: Radiation transport through a medium 16 Figure 2.4: General structure of the region surrounding an electrode...18 Figure 2.5: Boundary conditions applied for an axisymmetric representation of the constricted cathode region 26 Figure 2.6: Simulation of the cathode constriction region for a cathode spot of radius 3mm and a current of 300A.27 Figure 2.7: Cross section of the lamp where the arc (yellow), cold argon (green) and water wall (blue) can be seen Figure 2.8: Cross section of the positive column showing the region simulated and the boundary condition...30 Figure 2.9: Cross section of the lamp showing its temperature profile as predicted by the arc model for a current of 300 A. Temperatures are in Kelvin 31 vi

7 Figure 2.10: Power density balance in the lamp estimated by the arc model for a current of 300 A. Base Power Density = 81MW/m 3.31 Figure 2.11: Experimental and corrected estimated model (positive column) resistance for the shown current profile during a test. Base Current = 450A, Base Temperature = 12,000K...37 Figure 2.12a: Experimental and corrected estimated model voltage for the lamp current shown.38 Figure 2.12b: Experimental and corrected estimated model voltage for the lamp current shown.38 Figure 2.13a: Radius of the Isothermal portion of the Arc vs Current..39 Figure 2.13b: Arc temperature profile for various currents Figure 2.14: Isothermal Arc core temperature variation with Current..40 Figure 2.15: Experimental Radiative Efficiency of the Lamp...41 Figure 2.16: The attenuation of emitted radiation by various layers outside the arc Figure 2.17: The attenuation of emitted radiation by various layers outside the arc Figure 2.18: The ratio of power in each spectral band to the total power in all bands, as a function of isothermal arc temperature. Plotted using the NEC (corresponding to an arc radius (Rp) = 5mm) for each band...47 Figure 2.19: Radiative efficiencies determined from experiment and modified full lamp model Figure 2.20a: Radiative efficiency of the attempted model and the experimental Figure 2.20b: Total Lamp Voltage of the attempted model and the experimental...52 Figure 2.21: Cross sectional view of the flow pattern inside the lamp.54 Figure 3.1: The process chamber.56 Figure 3.2: Heat flux balance at the wafer s surface.58 Figure 3.3: Block diagram of the full system simulation setup.61 Figure 3.4a: Experimental and model estimated wafer temperature profiles for a 60C/sec ramp assuming an initial wafer temperature of 255C.62 vii

8 Figure 3.4b: Experimental and model estimated wafer temperature profiles for a 70C/sec ramp for an initial wafer temperature of 132C Figure 3.4c: Experimental and model estimated wafer temperature profiles for a 130C/sec ramp assuming an initial wafer temperature of 230C.63 Figure 4.1: Overall system block diagram..67 Figure 4.2: The step response of the full current loop..68 Figure 4.3: The step response of the first order low pass equation Figure 4.4: Architecture of the proposed control system...72 Figure 4.5: Full simulation system setup...73 Figure 4.6: Lamp voltage and current, when a step current of 225A is applied to the Lamp Model.74 Figure 4.7: Lamp voltage and current when the outer loop (ICM) is run at the same frequency as the Lamp Model and controls the lamp current for wafer temperature tracking Figure 4.8: Proposed Temperature Controller with the Current Conditioning block added.76 Figure 4.9: Lamp voltage and current when the outer loop (ICM) is engaged but the current setpoint signal is ramp rate limited to 1000A/s Figure 4.10: The impact of limiting the Lamp Current ramp rate to 1000A/s is observed as a small lag in tracking the requested temperature...77 Figure 4.11: Case 1: Simulation of the ideal scenario where the models in the ICM are assumed to perfectly describe the real system Figure 4.12: Case 2: Simulation of the non-ideal scenario where a 10% higher wafer absorptivity was assumed for the wafer model in the ICM as compared to the real wafer..80 Figure 4.13: Case 2: The simulation of the non-ideal scenario with the reset option implemented. As seen there is no current spike upon engagement of the PI controller at t=2.45sec..82 Figure 4.14: Case 3: Simulation of the ideal scenario where the initial wafer temperature is unknown. The transition to closed loop is still smooth...83 Figure 4.15: Simulation showing the scenario where the P controller s (Kp = 35) request exceeds 1000A/s which results in a spike in the current profile.84 Figure 4.16a: Simulation of the worst case scenario where a 50% error is incorporated in the ICM which results in a large deviation of the wafer temperature prior to the P controller engagement and a huge corrective action after its engagement Figure 4.16b: Zoomed in version of figure 4.16a viii

9 Figure 4.17a: Experimentally Recorded Wafer Temperature and Lamp Current for a Ramp Rate of 130C/s Figure 4.17b: Performance of the Proposed System showing the Wafer Temperature and Lamp Current for a Ramp Rate of 130C/s..88 Figure 4.18a: Experimentally Recorded Wafer Temperature and Lamp Current for a Ramp Rate of 70C/s..89 Figure 4.18b: Performance of the Proposed System Showing the Wafer Temperature and Lamp Current for a Ramp Rate of 70C/s Figure 4.19: Alternative system architecture. Real time lamp voltage measurement replaces the lamp model Figure A1: Figure showing the architecture of the UFR..96 Figure A2: Diagram showing the UFRs in the system and the diagnostic flash setup for the estimation of the bottom side emissivity...99 Figure B1: Geometry for derivation of the Radiative Heat Flux Absorbed Figure D1: Absorption coefficients of argon vs wavelength for various temperatures.105 Figure D2: Absorption coefficient of water at room temperature Figure E1: the wafer model block showing the inputs and outputs.109 Figure E2: The lamp model block showing the inputs and outputs Figure E3: Specific Heat Capacity (Cp) of the plasma versus temperature Figure E4: Net Emission Coefficient (ε N ) for Rp = 5mm of the plasma versus temperature.112 Figure E5: Thermal Conductivity (κ) of the plasma versus temperature Figure E6: Electrical Conductivity (σ arc )of the plasma versus temperature Figure E7: Density (ρ arc )of the plasma versus temperature Figure E8: The feedback controller with embedded reset option Figure E9: The inner current control loop Figure E10: The current conditioning block ix

10 List of Tables Table 2.1: Summary of the Estimated Full Lamp Model..32 Table 2.2 Attenuation factor as a function of isothermal core temperature..48 Table 2.3 Experimental radiative efficiency of the lamp as a function of the input power..50 Table E1: Radiative efficiency of the lamp at a given input power. 111 List of Symbols T arc : Temperature of the of the arc (K) C parc : specific heat capacity at constant pressure (J/(kg. K)) ρ arc : density of the argon and water mixture (kg/m 3 ) σ arc : is the electrical conductivity parameter of the argon and water mixture (S/m) E, E r, E z : Electric field vectors (V/m) F r : this is the net radiation flux density at any given point J: is the current density vector (A/m 2 ) Φ: is the electric potential (V) ρ : is the gas density (kg/m 3 ) v : is the flow velocity vector (m/s) p : is the gas pressure (N/m 2 ) f : represents the body forces acting on the gas (N) S: length of the medium under consideration I λ : Intensity of radiation ( W (m 2.sr) ) Ω: solid angle (sr) τ λ : optical thickness (unitless) F r : Radiative heat flux (W) κ λ : is the absorption coefficient of the material (1/m) I bλ : is the spectral intensity of radiation from a black body (W/(sr.m 2 )) τ λ : is a dummy integration variable τ: time constant of the current controller r: the radial coordinate variable ε N : Net Emission Coefficient (W/ (sr.m 3 )) Rp: radius of the isothermal arc (mm) G arc : the lamp s conductance ( m) i lamp is the current through the lamp (A) x

11 i lampicm : current estimated by the Internal Control Model (A) v lamp : lamp voltage (V) κ: thermal conductivity of the arc (W/K.m) P λi : Radiative power in a spectral band I (W) P B : total radiative power exiting the isothermal arc (W) P OUT : total radiative power exiting the lamp (W) P in : electrical input power to the lamp (W) η lamp : radiative efficiency of the lamp C p : specific heat capacity of the silicon wafer (J/(kg. K)) ρ: density of the silicon wafer (kg/m 3 ) κ Si : thermal conductivity of the silicon wafer (W/K.m) κ N : thermal conductivity of nitrogen (W/K.m) T: wafer temperature (C) T C : temperature of the quartz substrate (C) l gap : distance between the wafer and the quartz substrate th Si : thickness of the wafer η opt : optical efficiency of the process chamber th C : is the quartz substrate thickness C pc : the specific heat capacity of quartz substrate (J/(kg. K)) ρ C : density of quartz substrate (kg/m 3 ) α C : is the total hemispherical absorptivity of the quartz to wafer radiation (unitless) ε C : is the total hemispherical emissivity of quartz (unitless) S w : surface area of the wafer (m 2 ) α: total hemispherical absorptivity of the wafer (unitless) ε Si : total hemispherical emissivity of the wafer (unitless) P rad : total radiative power emitted by the 2 bottom lamps (W) Δt: sampling time t: time (s) xi

12 CHAPTER 1: INTRODUCTION Rapid thermal annealing is a process used in the manufacture of semiconductor wafers. In this process wafers are heated in order to electrically activate the introduced dopants and to control their positioning within the wafer (diffusion profile). Control of the wafer temperature profile dictates the doping profile and doping depth. Various types of rapid thermal processing (or RTP) techniques are used to reach high enough temperatures that satisfy the requirements of the semiconductor industry. Examples are spike anneals, impulse anneals, laser anneals etc. The key difference between the RTP techniques is the temperature versus time profile used for processing the wafer, as demonstrated in Figure 1.1. Figure 1.1: A comparison of the various annealing techniques; where T is temperature, t is time and d is the distance with respect to the surface of the wafer The future generation of wafers will be more densely packed than the current generation of wafers and will need to be heated in an even more stringent window of time. RTP times (using 1

13 conventional techniques like impulse anneals, spike anneals) have been getting shorter but these techniques heat the entire thickness of the wafer, so there is a practical limit on the reduction of the energy expended (or thermal budget). Laser processing can reduce the processing time to nanoseconds but many desirable processes require processing times in the order of a millisecond to be completed. Lasers are not optimized for a millisecond time scales. Moreover, the radiation intensity offered by lasers is limited thus requiring many lasers if higher power levels are required. This is not a cost effective option. Finally, lasers generate coherent light therefore the interaction between the surface and the radiation field may create interference effects which are deleterious to the thermal processing of the material. However, the flash-assisted RTP, can give a large improvement (or reduction of thermal budget) over the standard annealing techniques, with a 1 msec annealing time that satisfies the requirements of the process. First, the wafer is heated to an intermediate temperature (around 900 degrees C) from the bottom side with a plasma arc lamp. Then an additional flash of energy (~1msec) is used to heat the top side of the wafer to a final temperature between 1200 C and 1300 C. The temporal evolution of the wafer temperature and the temperature of the bottom and top side of the wafer are shown in fig 1.2 and 1.3 respectively. The flash duration is short enough to only make an impact up to a certain depth in the wafer, as shown in fig 1.1. This technique is what results in a reduction of annealing times to milliseconds. However this can only be achieved by producing a large amount of heat within a very short period of time in a highly controlled manner. 2

14 Figure 1.2: The measured temperature profile of the wafer during the RTP process Figure 1.3: The wafer topside and bottom side temperature during the millisecond RTP. Inset shows the zoomed in version where TI and TP are initial and peak temperatures of the wafer top side during the flash. Such a system has been developed by Mattson Technologies Inc., as shown in fig 1.4. The system consists of six plasma arc lamps that can radiate energy; two lamps at the bottom are used 3

15 to heat the wafer to an intermediate level (around 900C) and then four lamps at the top are used to flash energy (millisecond annealing) on to the topside of the wafer to achieve the desired wafer temperature profile. Figure 1.5 shows an example of the current profile through the lamps during the whole process. Currently, Mattson lamp technology is the only technology that is capable of achieving the temperature versus time targets required by the future semiconductor industry. Figure 1.4: The process chamber used for semiconductor wafer annealing Figure 1.5: The measured current through each of the top and bottom lamps during the process. For t< 2.9s, the controller operates in open loop mode. At t=2.9s, the wafer temperature measurements become available and the controller switches to closed loop operation. 4

16 1.1 The Apparatus Setup The apparatus consists of the semiconductor wafer and its holding unit, the lamps, and the temperature measuring devices. These parts of the system are described next. The semiconductor wafer and its holding unit: The chamber used for the annealing process, as shown in Fig. 1.4, is filled with nitrogen at a pressure of 1 atm. The wafer is suspended on pins and the pins are connected to a quartz substrate (the wafer holder). The quartz substrate plate is 7 mm thick and is assumed to be optically transparent at all wavelengths except below 200nm. The distance between the quartz plate and wafer is 1.4 mm and this quartz-wafer assembly sits at the center of the chamber. It is assumed that heat transfer between the wafer and quartz plate is due primarily to radiative exchange and heat conduction (convection can be ignored). Most radiation irradiating the quartz is from the wafer and is absorbed on the top surface while the bottom surface is cooled by radiation, conduction and convection. The wafer is assumed to be optically thick to arc irradiation and therefore absorption takes place within a thin layer of the wafer. The absorption on either side of the wafer can differ depending on the type of patterning on either side. The top of the wafer is exposed to one radiation source (the four top lamps) which for the purpose of this project is assumed to be producing little or no radiation during the temperature ramp-up phase, while the bottom surface of the wafer is exposed to two lamps. Also, the radiation from both the top and bottom lamps must pass through the cooling water inside the lamp and a water window before it reaches the wafer, as shown in fig

17 Figure 1.6: The Process chamber in detail The purpose of the water window is to filter out any radiation from the lamps at 1450nm and prevent it from entering the process chamber. It is assumed that any radiation from the wafer towards the walls of the chamber is fully reflected and that the temperature of the walls is so low as not to make an impact on radiative exchange on the top side of the wafer. The Lamps: The four lamps that are fixed to the top of the chamber are very different from the bottom two lamps in their pressures and diameters and are designed for purposes of flashing. The six lamps used in this system and shown in Fig. 1.7, are high pressure argon lamps. The arcs in these lamps are stabilized by a vortex flow. The lamps are constructed of a cylindrical quartz tube with an electrode at either end. High pressure argon gas enters the lamp at the cathode end and is swirled along the central core until it exits the lamp at the anode end. 6

18 Figure 1.7: the arc lamp structure showing the circulating contents inside A wall of water is also forced to swirl along the inside wall of the quartz tube, creating a sheet of water to cool the edge of the arc to ambient temperature. The water wall extends the lifetime of the lamp by cooling the quartz and carrying away sputtered electrode material. The radius and length of the bottom lamps is 12.5mm and 290mm respectively while that of the top lamps is 22.5mm and 270mm respectively. Temperature Measuring Devices: The chamber also consists of two radiation measuring devices or UFRs (ultra-fast radiometers) to estimate the temperature of the wafer. Radiometers are devices that measure the irradiation falling on them. If the emissivity of the wafer surface is known, then Plank s radiation law can be used to calculate the wafer surface temperature from the measured irradiation intensity (refer to appendix A for details). One UFR is located at the top of the chamber and measures the wafer top side temperature. The other UFR is located at the bottom of the chamber and measures the bottom side temperature, with reference to fig 1.8. Initially, when the wafer is being heated to an intermediate temperature, 7

19 the bottom UFR data is used to calculate the wafer temperature using the emissivity computed for the bottom side of the wafer. Figure 1.8: The Process chamber in detail This bottom emissivity is estimated by measuring the reflection and transmission of a diagnostic flash, fired from an emissometer. Details are described in Appendix A. Both the UFRs cease normal operation during the diagnostic flash and are coordinated to capture the flash to estimate the emissivity. The bottom radiometer uses this estimated value of emissivity to estimate the wafer temperature, until the next diagnostic flash (50msec later). By measuring the bottom emissivity in such a periodic manner, the bottom UFR gives us the true temperature. Once the wafer reaches the intermediate temperature, the wafer top side temperature is estimated from the known top temperature (approximately the same as the bottom). Thus with the knowledge of the top side temperature, the top UFR is used to calculate the top side emissivity at this point (refer 8

20 to appendix A). We assume that the top side emissivity does not change during the flash anneal and this emissivity value is used to calculate the top side wafer temperature during annealing. Since UFRs measure the irradiation falling on them, one crucial disadvantage of using them is that they can only give an accurate measurement when the wafer starts radiating sufficient energy. At low wafer temperatures, the radiation emitted by the wafer is too low and hence the signal to noise ratio generated by the UFR is very poor. The wafer begins radiating energy only after it has reached a certain temperature (blackbody radiation) and therefore there is no temperature measurement available until the wafer reaches this temperature (approx. 300C). In addition to two UFRs there is also an IR (infra-red) temperature sensor which measures the temperature of the quartz wafer holder. 1.2 The Control System A control system overview diagram for wafer temperature control is shown in fig 1.9. The whole wafer RTP process is directed by the controllers which are given a wafer temperature profile as a input. The controllers are responsible for setting the appropriate current for the lamps (see fig 1.5) so that sufficient radiation irradiates the wafer and allows the measured temperature to track the reference temperature. Initially, when the wafer is cold (below 300C), there is no wafer temperature feedback available, therefore the controllers operate in an open loop mode. After the wafer is heated to above 300C, the temperature feedback becomes available and the controllers switch to closed loop control to regulate the wafer temperature. 9

21 Figure 1.9: Control system overview 1.3 Thesis Motivation Currently Mattson uses a semi empirical model for the lamp and the wafer. Their attempts to ramp the wafer temperature to an intermediate level (using their existing control strategy), results in a lamp current profile which is not smooth and apparently leads to enhanced electrode (anode) erosion. It has been observed that there is a relation between the rate at which the wafer temperature is increased and the electrode erosion caused by the resulting lamp current. Mattson desires a control system which can be used to precisely control the ramp rate of the wafer temperature upto the intermediate temperature level while making the lamp current follow a smooth trajectory (be free of rapid fluctuations). In this way, Mattson can experimentally 10

22 determine an optimum temperature ramp for the wafer (up to the intermediate level), which would lead to minimum anode electrode erosion. However, for us to be able to develop such a control system we first need to develop an accurate dynamic lamp model that predicts the voltage versus current characteristic and the radiated power versus input power characteristic for the lamp. This lamp model is required for the development of the controller since the real apparatus is not available for testing and has to be done by simulation. Also the lamp model may be used in the controller for real time wafer temperature control due to the lack of availability of real time lamp voltage measurements. Secondly, we need to develop a dynamic thermal model for the wafer that provides accurate temperature evolution information as a function of the irradiation from the lamp. The scope of this thesis therefore, is the development of a lamp model, a wafer model and a controller to control the radiation from the bottom lamps which are required to ramp the wafer temperature to an intermediate level just before the millisecond flash occurs, as shown in fig Thesis Objectives The objectives of this thesis are: To develop a model for the wafer and arc lamp. To validate the wafer and arc lamp models. To develop a control strategy for the bottom lamps which controls the temperature of the bottom wafer up to an intermediate temperature with a specified ramp rate without generating fluctuations in the arc current. To test the proposed control strategy using available data provided by Mattson. 11

23 1.5 Thesis Outline The thesis is organized as follows: Chapter 2 describes the electro-thermal-radiation model for the arc lamp. Chapter 3 describes the thermal model for the wafer and substrate holder. Chapter 4 describes the control system consisting of an inner current loop and an outer temperature loop. Chapter 5 summarizes the contents of the thesis, the contributions and future work. 12

24 CHAPTER 2: LAMP MODELING The main focus of chapter is on the model of the bottom lamps. Hence the specifics relate to the properties of the bottom lamps even though the general approach to analysis taken also applies to the top lamps. 2.1 Full Lamp Model of the Bottom lamp The physics of the lamp is complicated by the fact that the contents of the lamp (i.e. plasma and cooling water) are subject to a continuous swirling motion (see fig. 2.1). The anode electrode operates at higher heat flux compared to the cathode so its temperature is higher and thus it erodes at a faster rate. The eroded electrode debris can react with the quartz vessel and produce a metallic composite on the inner surface of the quartz vessel. The change in the material properties of the quartz vessel results in a change to the spectral distribution of the exiting radiation and premature failure of the quartz vessel due to absorption. To prevent this, the electrode debris must be removed from the water before the water is recirculated. The water wall which is used to keep the lamp tube at room temperature also maintains the periphery of the argon gas near room temperature thus creating a large temperature gradient within the plasma near the water-wall. 13

25 Figure 2.1: The lamp and its circulating contents The full lamp model, as shown in Fig. 2.2 is comprised of five regions: 1) the cathode interfacial non local thermodynamic equilibrium (LTE) region, 2) the cathode constriction region, 3) the positive column region, 4) the anode constriction region and 5) the anode interfacial non LTE region. The total power delivered to the arc corresponds to the total power injected into the five regions. The positive column is the bulk of the lamp. It can be characterized approximately as an axially-invariant electric arc. Figure 2.2: Five regions of the lamp Regions 2, 3 and 4 are near or in local thermodynamic equilibrium and can be described by the following coupled equations: Power Balance (the Ellenbaas Heller equation): 14

26 C parc (T arc ) ρ arc (T arc ) T arc (κ(t t arc ) T arc ) + (C parc ρ arc vt arc ) = σ arc (T arc ) E 2 + ( F r ) (2.1) J = 0 (2.2a) where J = σ arc E (2.2b) and E = Φ (2.2c) E 2 = E r 2 + E z 2 (2.2d) where: T arc : Temperature of the of the arc C parc (T arc ), ρ arc ( T arc ) : are specific heat capacity at constant pressure and density characteristics of the argon and water mixture respectively σ arc (T arc ) : is the electrical conductivity parameter of the argon and water mixture. κ: is the thermal conductivity parameter of the argon and water mixture. E = E r r + E z z : Electric field vector F r : this is the net radiation flux at any given point C parc ρ arc vt arc : is the convection term, where v is the velocity vector J: is the current density vector Φ: is the electric potential The first term on the left hand side of equation 2.1 is the thermal inertia associated with the plasma. The second term on the left hand side represents heat conduction. The third term on the left hand side represents the heat loss due to convection. The first term on the right hand side is the electrical energy input to the lamp. The second term on the right hand side is the expression for the net radiation, emitted at any given point within the plasma. Momentum balance (Navier-Stokes) Equation: ρ ( v + v v) = p + f (2.3) t where: ρ : is the gas density v : is the flow velocity vector 15

27 p : is the gas pressure f : represents the body forces acting on the gas Radiation Transport Equation (ignoring scattering) [16]: The radiation transport equation is given by equation 2.4 and its pictorial representation is shown in Fig Figure 2.3: Radiation transport through a medium where: di λ (s, Ω) ds = I λ (r) = κ λ (T) I bλ (T) κ λ (T) I λ (s, Ω) (2.4) s: is the path length I λ : is the spectral intensity of radiation at the distance s (Unit: W/(sr.m 2 )) κ λ (T): is the absorption coefficient of the material as a function of temperature T (Unit: 1/m) I bλ (T): is the spectral intensity of radiation from a black body at temperature T (Unit: W/(sr.m 2 )) Ω: is the solid angle For an absorbing and emitting medium of length S, we can solve for I λ by integrating equation 2.4 and obtain the following expression: 16

28 I λ (τ λ, Ω) = I λ (0, Ω)e τ λ + 1 τ λ 4π I bλ(τ λ ) e (τ λ τ λ ) dτ λ 0 W [ (m 2. sr) ] (2.5) S where τ λ = κ λ ds is referred to as the optical thickness of the region under 0 consideration (a unitless quantity) and τ λ is a dummy integration variable The first term on the right hand side of equation 2.5 is the attenuation term and the second term is the source term. By definition, the radiative heat flux absorbed by a differential volume element dv, located at a distance S, from all directions is given by: 4π F rλ_absorbed = κ λ (T) dv I λ (τ λ, Ω) dω The radiative heat flux emitted from dv in all directions is given by: 0 [W] (2.6a) 4π F rλ_emitted = κ λ (T) dv I bλ (T) dω = 4π κ λ (T) dv I bλ (T) [W] (2.6b) 0 Note that the emission and absorption coefficient are equivalent according to Kirchoff s radiation law. A more detailed derivation of these equations is presented in Appendix B. Using equations 2.6a and 2.6b, we can obtain the divergence of the radiation flux for the differential volume element dv, which is taken to be positive if emitted radiation is taken to be positive in the outward direction from the differential volume: F rλ = F rλemitted F rλabsorbed = 4π κ λ (T) (I bλ (T) 4π 1 4π I λ(τ λ, Ω) dω 0 ) [ W m3] (2.7) Regions 1 and 5 are not in LTE. Hence they are not described by the above equations and must be handled in a different way. The physics for these regions along with regions 2-4 will be discussed in more detail in the five subsequent subsections. Sections discuss the specifics of regions 1-5. Section provides a summary of the full lamp model consisting of the concatenation of the five regions Region 1: Anode Interfacial Region Model Figure 2.4 shows the general structure of an electrode zone and therefore applies to both the cathode and anode regions. Ratt is the radius of the arc attachment spot to the electrode surface and Rarc is the radius of the arc in the positive column region. Ratt will be different for the cathode and anode regions. 17

29 Figure 2.4 General structure of the region surrounding an electrode The modeled anode interfacial region is in the immediate vicinity of the anode electrode spanning about 1mm axially (see figure 2.4). The anode is 22.4mm in diameter. The difficulty in modeling this region comes from the fact that the plasma gas cools from the known core arc temperature of around 10,000K [3] to the anode surface temperature (typically K), but the electron temperature does not do likewise. Hence a two temperature model is needed to account for this region. This would require equations describing the neutral species, the ion species and the electrons. However, these details are not covered since the transport coefficients involved (assuming a two temperature model) are not well known. Fortunately, this region turns out to not play a major role in influencing the overall characteristics of the model, hence a simplified representation of the region is provided. 18

30 Ultimately, we wish to determine the electrical characteristics of this region and hence we can use the following approximation referred to as the generalized Ohm s law to determine the current density as a function of scalar functions which are meaningful: j e = σ e (E + 1 dp e e n e dx ) + φ dt e dx (2.8) je is the electron current density, σe the electron electrical conductivity, E the electric field, ne, pe and Te the electron density, partial pressure and temperature, respectively, and φ the thermodiffusion coefficient. The first term in the right hand side of equation 2.8 is the current due to the electric field, the second term is the current due to a pressure gradient and the third term is the current due to electron temperature gradient. We can ignore the last term in eq. 2.8 because the electron temperature does not change significantly in the anode region even though the plasma or heavy species temperature changes significantly [1]. Now, considering that the total current through this anode region remains constant, we can write: R I = 2π σ e (E e n e dp e dx ) r dr = constant (2.9) where R is the arc radius. It can be seen that the electric field and its contribution to the current has to reduce for an increase in the current due to the partial pressure gradient for the electrons. This increased electron partial pressure is provided by ambipolar diffusion and the convective flow directed towards the anode surface [2]. This leads to deceleration of electrons and an ion flux to the anode. The outcome of the convective flow is to cause a diffuse attachment of the arc to the anode surface. The result is an anode voltage drop on the order of 1V which is relatively 19

31 insensitive to the operating current level [1]. This is the value assumed for modeling the anode interfacial voltage drop. There are significant heat fluxes to the anode due to various sources and the excess heat is removed by water flow internally. The heat flux balance on the anode is given by: dt e q a = j el φ a + q el k e dx k dt h h dx + j i(e i φ a ) + q R (2.10) where qa is the specific anode heat flux, jel the electron current density, φa the anode work function, qel the heat flux associated with the electron flux into the anode, ke, kh and Te and Th are the electron and heavy-particle thermal conductivities and temperatures, respectively, ji is the ion current density, Ei the ionization energy and qr the radiative flux from the arc. A calculation of this heat flux balance has not been done, however an estimate provided by Mattson indicated that the combined losses in the anode and cathode regions are approximately 10% of the input power when the input power is 300KW. The losses on the anode are larger than the cathode, thus the anode has larger cooling requirements than the cathode Region 2: Anode Constriction Region Model This is the region between the anode region and positive column (see fig. 2.4). Since it is observed that the arc attachment to the anode surface is diffuse and that the anode diameter and lamp diameter are 22.4mm and 25mm respectively, the amount of arc constriction is small and hence the impact of constriction can be neglected. Therefore this region can be considered to be a part of the positive column region. 20

32 2.1.3 Region 5: Cathode Interfacial Region Model The mechanism of electron emission from the cathode in the lamp is thermionic emission. The cathode is made of tungsten (12.7mm diameter) and has a melting point high enough so that it can emit electrons at high current densities on the order of 10,000 A/cm 2 without melting. Each emitted electron takes with it an energy equal to the work function when leaving the cathode. Thus electron emission produces a cooling effect on the cathode. However, to maintain a high enough temperature, there must be a mechanism to heat the cathode to a temperature required for thermionic emission. This heat is provided by the ions coming from the plasma as well as radiation from the plasma (ions being the dominant contributor). Hence the amount of ion current contribution at the cathode is significant [2]. Similar to the anode, the cathode interfacial model consists of the cathode surface and the region near the surface where the plasma cools from the arc temperature to the cathode surface temperature. The attachment of the arc to the cathode is observed to be in the form of a spot. The region in front of the cathode is split into four layers in order to describe the physics in the interfacial region [2]. The layers are (starting from the one closest to the cathode): the spacecharge sheath, the ionization layer, the layer of thermal non equilibrium and the layer of thermal perturbation [2]. Deviations from LTE as seen moving from the positive column towards the cathode are described next. First encountered is the layer of thermal perturbation where the power balance between the joule heating and radiated power is no longer the same because of the heat conduction flux to the electrode. Next, in the layer of thermal non-equilibrium, the temperature of the heavy particle and electrons are no longer the same. This is because the heavy particles are cooling due to the lower cathode surface temperature. Moving further towards the 21

33 cathode takes us into the ionization layer, where ionization equilibrium breaks down i.e. the rate of ion, electron generation and recombination is not balanced. And lastly in the region adjacent to the cathode surface, the electron and ion densities no longer remain the same, hence a space charge sheath is formed. Ultimately, we desire an electrical model of the cathode and for this it is important to understand that the ionization level or the charged particle density in the ionization layer due to the heat conduction flux from the arc is insufficient to maintain current flow from the cathode. Hence additional energy must be deposited into the space-charge sheath to accelerate the electrons emitted by the cathode. This additional energy transfer to this region is seen in the form of a voltage drop and hence the voltage drop of the cathode unlike the anode, is much higher [2]. This cathode region voltage for a similar geometry has been found from reference [15] to be in the range of 40-25V for a current ranging from A. However, these numbers may be slightly different for our case due to details which are beyond the scope of this thesis and therefore for simplicity a constant drop of 40V will be assumed for this project. In addition to the electrical characterization of this region, the power balance at the cathode surface can be described by the following equation: q cathode = j em (φ eff + 2.5kT c ) + j e i (V c + E i φ eff + 2kT c e ) (2.11) where ji is the ion current, jem the electron emission current, Vc the sheath potential drop, Ei the ionization energy of the plasma gas, φeff the effective work function (i.e. the cathode material work function reduced by the electric field in front of it), Tc the cathode surface temperature and q the heat flux entering the cathode. Similar to the anode, the cathode also has its own cooling water system although excess heat produced in the cathode is smaller than the anode. 22

34 2.1.4 Region 4: Cathode Constriction Region Model This is the region in between the cathode interfacial region and the positive column. The length of this region is usually in the order of the arc radius [1]. This is a transition region for the arc wherein the arc is diverging from its radius corresponding to the cathode surface spot (< 6mm) to the vortex stabilized radius in the positive column. This results in the arc assuming a constricted shape throughout this region. The arc in this region is still in local thermodynamic equilibrium and the radiative losses dominate the region. The key difference between the anode and cathode constriction regions is that gas entering the anode constriction region is already at the full arc temperature (hence favoring the existence of a diffuse attachment mode) but the gas entering the cathode constriction region is cold and hence must also be heated up to the arc temperature. Therefore, within the transition region, the incoming flow of cold gas is heated up and brought to the adjacent plasma temperature before entering the positive column. As fresh swirling gas at 300K enters the lamp, it swirls around the cathode and directly enters the cathode constriction zone and starts heating up by joule heating due to the flow of current through it. As this cold gas begins heating up, it starts expanding (initially at constant pressure) in the core of the lamp. The amount of expansion is determined by the amount of heat added to the gas. We wish to determine the (radial) mass distribution of the flow just about to enter the positive column due to this heating. This piece of information will enable us to calculate the convective loss occurring in this region. Since the arc temperature is known to be around 10,000K, we can estimate the expansion of the gas due to this rise in temperature from 300K to 10,000K using the ideal gas equation. We assume here that the energy addition to the gas does 23

35 not affect the angular or axial velocity of the gas and hence one can use the following simple ideal gas law relation for pressure: p= ρr T (2.12) Initially, the gas expands at a constant pressure, therefore keeping p constant and increasing T to 10,000K, we can estimate that the gas would expand roughly to a density which is roughly 30 times lower than its original density just before it entered the lamp. Moreover, the thermal expansion of the gas creates a mass distribution pattern such that most of the mass is in the high density outer region of the lamp. This region is very thin because it has been pushed outward by the high temperature plasma which occupies most of the lamp volume. By knowing the approximate density and temperature profiles of the arc, we can evaluate the energy transfer to the gas in the region per unit mass. This will be equal to [4]: R 0 ρ(t) C P (T)(T T 0 )2πr dr R 0 ρ(t) 2πr dr J/Kg (2.13) where R is the radius of the plasma. The gas exiting the lamp takes this energy with it, and is thus a source of power loss for the lamp. This loss is referred to as convective power loss. The constricted region has on one side the cathode interfacial region and on the other side the positive column. As previously discussed, the specifics of the adjacent cathode interfacial region and the cathode spot size depend on flow fields, cathode shape and net heat flux. Hence assigning a boundary condition to this region is quite complex and is beyond the scope of this thesis. For our purpose, an understanding of these details is not necessary. Therefore, even though the exact cathode spot size and interfacial boundary condition are not known precisely, the scenario in the cathode constriction region was simulated for a range of spot diameters 24

36 assuming a stationary scenario (no gas flow) just to obtain a rough estimate of the voltage drop across the region. The exact solution of the cathode constricted region involves modeling more complicated phenomena but for our purpose, equation 2.1 and 2.2 were solved using a commercial finite element program (COMSOL) and imposing the boundary conditions shown in figure 2.5. The last term in equation 2.1 representing the contribution of radiation was replaced with an approximation referred to as the Net Emission Coefficient, which is more useful for describing the properties of the positive column and will be discussed in the next section. Here we will use it for describing in a very approximate sense the radiation properties within the constriction zone even though the quantitative results generated by the simulations cannot be taken at face value. The radius of the arc is changing axially in this constriction region, therefore the Net Emission Coefficient should be implemented as a two dimensional lookup table being a function of radius and temperature. However, for simplicity of implementation, the NEC was chosen for a fixed radius (of 5mm) and only varied with temperature. The convective term in eq. 2.1 (i.e. the effect of gas flow on the temperature profile of the region) was ignored in this case, because simulating the effect of gas flow would require solving the Navier-Stokes equation in parallel. This would increase the complexity of the model which is not desired since the purpose of this exercise is to roughly estimate the voltage drop across the region for a given constricted arc shape assuming stationary contents. The inner radius of the water-wall was chosen to be 10.5mm because measurements made by Mattson indicate that the thickness of the water-wall is around 2mm. Simulation results showing the temperature profile in the constriction region are shown in fig The axial length of the region shown in the figure was determined by trial and error to accommodate only the constricted arc region and not the region where arc is axially invariant. 25

37 The voltage drop in the cathode constriction region was estimated to be between 5-15V for a range of currents ( A) which is in agreement with an estimate seen in the literature [6]. Simulations were also performed where the second boundary condition (across the arc attachment spot) T = 0 was removed and a heat flux (up to 5 MW/m 3 ) was forced towards the cathode interfacial region through the arc attachment spot. This did change the attachment spot area temperature from 14,350K (for no flux condition) to 15,050K, however a negligible change in the voltage drop across the region was observed and therefore the voltage drop appears to be insensitive to the choice of heat flux imposed on the boundary. The power dissipated in the cathode constriction region due to the voltage drop across it, will be equal to the convective power transfer to the gas (thermal energy carried by the gas exiting the lamp), and radiation power losses and conduction losses to the cathode and plasma periphery. Figure 2.5: Boundary conditions applied for an axisymmetric representation of the constricted cathode region 26

38 Figure 2.6: Simulation of the cathode constriction region for a cathode spot of radius 3mm and a current of 300A Region 3: Model of the Positive Column Figure 2.7 shows a cross section of the positive column. In the positive column, the arc is assumed to occupy the space up to the inner periphery of the water wall. The water wall is created by swirling water along the inside of the quartz tube. The water temperature is approximately 300K. A layer of the plasma is cooled by the water wall, which results in a layer of cold argon that swirls adjacent to the arc and stabilizes it. The water wall and cold argon appear to rotate together therefore no slip can be assumed. 27

39 Figure 2.7 Cross section of the lamp where the arc (yellow), cold argon (green) and water wall (blue) can be seen. The Arc Model Equations for the Positive Column: We can assume to first order that field quantities have no axial dependency and that the plasma is in LTE. This presumes that the axial flow of gas can be neglected for the purpose of modeling the positive column. Assuming stationary contents makes the modeling problem a much easier one to solve. The plasma composition is 98% Argon and 2% water at 5atm. To begin with, we can assume that the effect of the water-wall which is 2mm thick (indicated by Mattson measurements), is to keep the outer most edge of the plasma at 300K. It is also assumed that the regions surrounding the arc inside the lamp are optically thin (i.e. do not participate in radiation emission or absorption), which we know is not true however this is the first step towards a further refinement to the model which will be described later. To avoid solving equation 2.4, we can replace the radiation flux density term ( F r ) with a simplified representation referred to as a net radiation emission term 4πε N (T arc ). For this 28

40 simplification we assume that the arc can be represented by an isothermal core with no radiation impinging from the outside on this core and no absorption or emission occurring outside this core. A brief derivation of the net emission coefficient (NEC) method is given in Appendix C. We can model the plasma arc using the net emission radiation term in the energy balance equation, (eq. 2.1, the Ellenbaas Heller equation): Cp arc (T arc ) ρ arc (T arc ) T arc t (κ(t arc ) T arc ) = σ arc (T arc ) E 2 4πε N (T arc ) (2.14) where: T arc : Temperature of the arc Cp arc ( T arc ), ρ arc (T arc ) : are specific heat capacity at constant pressure and density characteristics of the argon and water mixture κ: thermal conductivity of the arc (W/K.m) σ arc (T arc ) : is the electrical conductivity parameter of the argon and water mixture. E : Electric field across the lamp ε N (T arc ) : this is the net emission coefficient (NEC) calculated by integrating the net radiation flux of the isothermal core region (region which is approximately within 90% of the maximum plasma core temperature) of the arc. The following equation can be found in reference [7] and is described in more detail in Appendix C: ε N = 0 I bλ (T)κ λ (T)e (κ λ(t)r p ) dλ (2.15) In equation (2.15), I bλ is the Planck s radiation function, κ λ is the spectral absorption coefficient, λ is the wavelength and Rp is the radius of the isothermal region in the arc. The NEC is a function of the isothermal arc temperature and the isothermal arc radius usually denoted as Rp. The units of NEC are W/ (sr.m 3 ). The first term on the left hand side of equation 2.14 is the thermal inertia of the plasma. The second term on the left hand side of equation 2.14 represents heat conduction. The first term on the right hand side of equation 2.14 is the electrical energy input to the lamp. The second term on the right hand side of equation 2.14 is the net emission representation for the radiated power as a function of the isothermal temperature. Since the electric field is constant in the positive column and has no radial component, eqs. 2.2 can be simplified further to give the following expression: 29

41 E z = i lamp (Garc) = i lamp R 2π (r σ arc (T arc ))dr 0 (2.16) where: E z is the Axial electric field in units of V/m G arc is the positive column conductance in units of m i lamp is the current through the lamp in units of A We can solve for the radial temperature profile of the positive column while also obtaining the radiated power, positive column resistance, positive column voltage, as by products using equations 2.14 and 2.16 and imposing the boundary condition that T= 298K at r= 10.5mm due to the cooling by the water wall [3]. Since the isothermal arc radius remained more or less constant with current, the NECs used are chosen for an Rp of 7mm and vary only with temperature. A pictorial representation of the boundary condition applied in the radial direction is shown in Fig Figure 2.8: Cross section of the positive column showing the region simulated and the boundary condition The positive column region was simulated using a commercial finite element solver (COMSOL) and the resulting temperature profile of the lamp is shown in figure 2.9. Fig 2.10 shows that the 30

42 radiative losses dominate in the positive column and heat conduction only takes place near the edges where there is a large temperature gradient. Figure 2.9: Cross section of the lamp showing its temperature profile as predicted by the arc model for a current of 300 A. Temperatures are in Kelvin Figure 2.10: Power density balance in the lamp estimated by the arc model for a current of 300 A. Base Power Density = 81MW/m 3 31

43 2.1.6 The Full Lamp Model The Estimated Full Lamp Model: The models for regions 1-5 were concatenated to create a single model for the full lamp. Current is conserved in going from one region to the next and is the only input given to the model. The total arc voltage is the sum of the voltage drops across the five regions. The outputs from this lamp model are the total lamp voltage and the radiated power. The full lamp model was simulated in MATLAB where the positive column region was simulated by assuming a cylinder made of five concentric shells whose total radius is 10.5mm and length is 280mm. A minimum of five shells were chosen because this reduced the simulation computational time considerably and the results were close to those seen using finite element simulations performed with COMSOL. Eq was applied to each individual shell and eq was used to compute the axial electric field Ez. This resulted in a total of six coupled equations describing the power balance for the positive column. The resulting estimate of the positive column voltage was added to the voltage drops across the four other regions to give the total lamp voltage. Let us refer to this model as the estimated full lamp model. Table 2.1 shows the salient expressions for the estimated full lamp model. Region Table 2.1: Summary of the Estimated Full Lamp Model Model Anode Cathode 1V voltage drop 40V voltage drop Anode Constriction Negligible Region Cathode Constriction 10V voltage drop Region Positive Column Cp arc (T arc ) ρ arc (T arc ) T arc (κ(t t arc ) T arc ) = σ arc (T arc ) E 2 z 4πε 0 (T arc ) 32

44 E z = i lamp (Garc) = i lamp R 2π 0 (r σ arc (T arc ))dr Radiation transport Uses Net Emission Coefficient to determine output of the inner isothermal section of the plasma and assumes the outer cooler regions to be optically thin The products of the lamp model are the total lamp voltage, the positive column resistance, total input power and total radiated power from the isothermal section of the core, according to the following relations: Total lamp voltage, v lamp = [Length of Positive column (~280mm) x E z (eq. 2.16)] + Voltage drop across the two electrode interfacial & constriction regions (~50V) Positive Column Resistance = [Length of Positive column (~280mm) x E z (eq. 2.16)] / i lamp Total Power Radiated from the isothermal core, P B = 4πε N x Length of Positive Column x Cross sectional area of isothermal arc Total Input Power = v lamp i lamp The results of the simulations of the estimated lamp model indicated two major discrepancies between this estimated full lamp model and the experimental lamp data provided by Mattson. The first discrepancy (error in the estimated value of Total Lamp Voltage): A discrepancy was observed between the total lamp voltage predicted by the estimated full lamp model and the lamp experimental data wherein the voltage predicted by the estimated lamp model was too low. Pushing the electrode and constriction region voltage drops to their reasonable maximum limit did not solve the problem. Consequently, it turned out that the estimated full lamp model developed so far was underestimating the positive column resistance 33

45 i.e. was estimating lower than the experimental value. A sensitivity analysis was performed on the arc s transport coefficients. The resistance of the positive column was found to be insensitive to all of the arc transport and thermodynamic coefficients that were tested. This meant that the only other factor that could impact the value of resistance was the radius of the high temperature isothermal section of the arc. Since an estimate of the experimentally measured isothermal arc radius is not available, this is an area of uncertainty and flexibility. Lowering the radius of this isothermal arc would reduce the effective current conducting area and lead to a higher resistance. As indicated earlier, the meaning of isothermal in this document does not exactly mean isothermal but specifies a region which is at a temperature which is greater than about 90% of the maximum arc temperature, based on experience and model validation. To estimate the most probable radius that gave the required (experimentally determined) total lamp voltage, a test was performed in which the radius of the isothermal part of the arc was reduced until there was agreement between the experimental and test results for the total lamp voltage. This was done by building a new simplified test model of the lamp. Determining the Radius of the Isothermal Section of the Positive Column: The test model replicates only the isothermal arc inside the positive column of the lamp where radiation dominates and the electrical conductivity is axially uniform. The model consists of an isothermal cylinder of length 280mm and radius Rp. The goal of the test is to determine the radius Rp of the cylinder which gives the closest fit with the experimental data. For the purpose of this test, the regions outside the isothermal arc, namely the cold gas, the water-wall and the quartz tube are ignored and radiation is the only assumed loss heat mechanism from the isothermal core. Neglecting the impact of the outer regions and other losses is not strictly true, 34

46 however for the purpose of the test model, the results based on this assumption are still very informative and should indicate an approximate arc radius which can then be fine-tuned in the full model. A test scenario was created where the profiles of the positive column resistance and the output radiated power were taken from experimental data (assuming the models of the electrode regions hold). Using the experimental profile of the radiated output power, the temperature of the isothermal cylinder (or arc) for various isothermal arc radii was determined using the NEC method. Then using this estimated isothermal temperature, the resistance of the isothermal arc was determined (using the temperature dependent electrical conductivity coefficient) and compared with the experimental positive column resistance profile. The radius of the isothermal portion of the arc that gave the best fit was around 5mm. This radius brought the resistance of the positive column closer to the experimental value for higher currents but it was observed that for lower currents an even smaller radius was required to obtain a good fit. For low currents (<300A), an increase in current would lead to an expansion of the arc (radius) along with an increase in temperature but at higher currents the radius remained more or less fixed while the temperature of the arc increased. Using this test it was determined that the radius of the arc starts from ~2mm at low currents and with increasing current expands to about 5mm and remains fixed there for higher currents. In summary, the test model revealed a critical piece of information about the arc namely that that the effective radius of the isothermal region in the positive column which gives a good agreement between experiment and theory does not extend to the full radius of the enclosure up to the water wall. In contrast, the estimated full lamp model predicts that the arc occupies most of the cylindrical enclosure s volume and thus has a larger cross sectional area to conduct current 35

47 and therefore a lower resistance. The correction of radius of the arc was made to the estimated full lamp model by reducing the cold boundary or water-wall radius from 10.5mm to 7mm and a very good agreement was seen in the resistance profile of the modified positive column model and the experimental results (see figure 2.11). The outcome of this correction was that the Total Lamp Voltage was now in very good agreement with the experimentally recorded profile as shown in figures 2.12a and 2.12b for two different lamp current profiles. Figure 2.13a shows the isothermal arc radius versus current and figure 2.13b shows the radial temperature profile of the lamp for various currents. Figure 2.14 shows the isothermal arc temperature variation with current. The lowering of radius meant that for the same input power the isothermal arc temperature was higher. This change of radius was incorporated for the cathode constriction region simulation but the voltage did not change by a considerable amount and can still be assumed to be ~10V. The results achieved thus far assume that the voltage drops of the electrode interfacial regions hold, however, the approach taken here to determine the most likely isothermal arc radius to give the desired voltage is always valid and can be re-applied to accommodate any change in electrode voltages. Having achieved the correct positive column resistance in the model, it became important to understand why the isothermal arc has a smaller radius than what the estimated full lamp model predicted. One possibility is the uncertainty of the radius of the water-wall. A measurement by Mattson, indicated that the thickness of the water-wall was around 2mm. However, this measurement was done in a test lamp which was not running, and it is suspected that a running lamp could affect the flows resulting in a different water-wall size. It has also been confirmed by Mattson that 2-5mm is a reasonable thickness for the water-wall in a fully running lamp. This 36

48 would imply that the radius of the computational space would be the inner radius of the water wall which is approximately 7 mm. It is important to note that the NECs used in the estimated lamp model (with corrected radius) were chosen corresponding to an Rp of 5mm and varied only as a function of temperature. However, according to fig. 2.13a, the radius of the isothermal arc changes as a function of current. This changing radius as a function of current, means that NECs corresponding to different Rp(s) must be used in the model for different levels of current. The resulting NEC data then becomes a two dimensional lookup table in the model and can be used to improve the accuracy of the model even further. However, for our purpose, only currents greater than 200A are expected. Consequently, a one dimensional lookup table for NEC corresponding to an Rp of 5mm is used. This lookup table is only a function of temperature. Figure 2.11: Experimental and corrected estimated model (positive column) resistance for the shown current profile during a test. Base Current = 450A, Base Temperature = 12,000K 37

49 Figure 2.12a: Experimental and corrected estimated model voltage for the lamp current shown Figure 2.12b: Experimental and corrected estimated model voltage for the lamp current shown 38

50 Figure 2.13a: Radius of the Isothermal portion of the Arc vs Current Figure 2.13b: Arc temperature profile for various currents. 39

51 Figure 2.14: Isothermal Arc core temperature variation with Current The second discrepancy (error in the arc s radiative efficiency): The second discrepancy was observed in the estimated full lamp model s radiative efficiency. Radiative efficiency of the lamp denoted by η lamp is defined as the ratio of radiative power measured just outside the lamp (watts) to the total lamp input power (watts). The experimentally determined radiative efficiency of the actual lamp, as shown in Fig. 2.15, is just under 50%, whereas the estimated full lamp model predicted a much higher efficiency (>70%). Moreover, the estimated full model (with corrected radius) predicted that the radiative efficiency was a function of the lamp input power, while the experimentally determined radiative efficiency, remained constant independent of the input current or power level. Therefore some phenomenon in the positive column is resulting in lower efficiency which is unaccounted for in the estimated full lamp model. 40

52 Figure 2.15: Experimental Radiative Efficiency of the Lamp Reference [4] discusses an experiment conducted on a lamp with a very similar structure to the lamps adopted by Mattson. In their experiment, the measured thermal wall loading (heat conducted to the cooling water-wall) was found to be much higher than predicted (by the arc model discussed in section 2.1.5). Therefore it is expected that the assumption of the outer regions (namely, the cold gas, water-wall and the quartz tube) being optically thin does not hold, so a certain amount of power does not escape the lamp (it gets self-absorbed). This absorbed power is then lost in the form of heat conduction and heat convection hence explaining the increased thermal wall loading. Turbulence inside the lamp has been another suspect for the lower efficiency of the lamp. Turbulence is the chaotic flow of gas. It can occur after the flow has travelled some distance 41

53 from an entry point and transitions from a solid body rotation pattern to a complex flow pattern resulting in intensive mixing of the fluid. The impact of radiation transport and turbulence is discussed next. Radiation absorption at the periphery of the Arc: The estimated full lamp model developed so far uses a NEC or Net Emission Coefficient (equation 2.15) to compute the radiation output of the isothermal plasma. However, this approach has limitations, since the NEC takes into account the emission and self-absorption of the isothermal section of the plasma only and does not account for the outer regions (cold plasma, water and quartz tube) of the lamp which are in general much cooler. We now know that the assumption that the outer regions are optically thin to the radiation coming from the inner isothermal arc is not valid. It is therefore necessary to account for the radiation transport phenomena across the entire radial extent of the lamp up to and including the exterior wall of the water cooled quartz tube. This can in principle be done by replacing the NEC method with the full scale estimation of the radiation transport equation and using it to solve for the divergence of radiative flux. The radiation transportation equation (eq. 2.4) and its solution was discussed in section 2.1, however that was for a one dimensional path between two points and not for a particular geometry. To precisely predict the radiation transport in the lamp, a cylindrical system, must be adopted where the radiation transport equation eq. 2.4 becomes [8]: sin θ [cos ψ I τ (τ, θ, ψ) sin ψ τ 42 I ψ (τ, θ, ψ)] = I b(τ) I(τ, θ, ψ) (2.17)

54 where ψ, θ are the spherical coordinates specifying the direction (azimuthal and polar angle) of incident intensity at a particular point in a cylinder. The position of the point under consideration is given in cylindrical coordinates. There are solutions available to the above equation that require rather involved numerical analysis techniques. However, this is too complex to be included in the lamp model for real time implementation in a digital controller. Solving this problem also assumes we have knowledge of all parameters and that the cylinder is infinite in length, which is not true. However, there is a simpler alternative in which we can make use of the already known NEC approximation method in the model. In this method, we use the NEC to predict the radiation output from the isothermal arc. We then treat the output as the input to the outer regions and predict the impact of attenuation through the different regions as shown in figure Since, the NEC method assumes that all radiation exiting the isothermal arc is diffuse and hence independent of direction, it simplifies our analysis greatly. We then simplify things considerably considering only one ray that is normal to the isothermal core and determine how radiation along this path is impacted in traversing the outer regions. This can give some quantitative information as to how the radiation in specific spectral bands is attenuated and thus explain why the measured radiative efficiency is much lower than the value calculated using the estimated model. Then using this information we can create empirical multiplicative factors that correct for the radiation absorption so that the corrected results are in agreement with the experimental results. 43

55 Figure 2.16: The attenuation of emitted radiation by various layers outside the arc. Starting with the assumption that the intensity of radiation from the isothermal arc is diffuse and hence independent of direction we use a simplified solution of the radiation transport equation (eq. 2.4) in a direction which is normal to the surface of the isothermal core and penetrates the outer cold regions. This expression, used to trace the radiation along a specific path while neglecting the emission along the path, can be found in a number of reference texts such as reference [14] and is given as follows: S I λ (τ λ, Ω) = I λ (0, Ω) exp[ κ λ (T) ds] (2.18) 0 where S is the thickness of the region under consideration, λ is the wavelength, I λ (0, Ω) is the intensity of incident radiation pointing outwards from the isothermal core in a particular direction (taken normal in our case) and κ λ is the spectral absorption coefficient, which will be assumed constant within a specified frequency band. Applying equation 2.18 for the shortest 44

56 distance through the medium (i.e. the path having the smallest optical thickness) will give us an estimate of the minimum amount of attenuation to expect from the region for the spectral band under consideration. Note that this expression evaluates the intensity at a given point, incident from a particular direction only and does not give the total intensity at the point from all directions. Since, the radiation coming from other angles to the point of consideration will be attenuated even further, the results calculated using eq (assumed taking the shortest path) are expected to underestimate the attenuation of the signal appearing at the exterior surface of the quartz wall. Figure 2.17 shows where radiation exiting the isothermal core is selectively absorbed and converted into internal heat energy and convective energy. Figure 2.17: The attenuation of emitted radiation by various layers outside the arc. Since the radiation is assumed to be diffuse, intensity and power are correlated to each other by a constant factor. Therefore, converting eq into a power equation, we get: S P λi_out (τ λ ) = P λi_in (0) exp[ κ λi (T) ds] (2.19) where i represents a particular discretized spectral band from a total of N discretized bands, P λi_out represents the power in band i exiting the outer regions of the lamp, P λi_in represents the 0 45

57 power in band i entering the outer regions (i.e the power radiated by the isothermal arc). Arriving at this equation from eq assumes that the intensity remains the same across all the angles. If we now sum equation 2.19 over all bands we arrive at the following expression for P OUT, the total power exiting the outer regions of the lamp: N P OUT = P λi_out (τ λ ) i=1 N = P λi_in (0) exp[ κ λi (T(s))] ds i=1 0 S (2.20) Then dividing both sides by the total radiative power exiting the isothermal arc (which is the estimated in the same way as in the estimated lamp model with corrected radius): N P B = P λi_in (0) i=1 (2.21) we obtain: P OUT N = P λi_out(τ λ ) N = P λi_in(0) P B i=1 P B i=1 P B S exp[ κ λi (T(s)) ds ] (2.22) 0 The ratio P OUT P B the desired quantity. in eq is defined as the total transmissivity factor of the outer regions and is The ratio P λi_in (0) P B is plotted for each band i (8 bands in total) as a function of isothermal core temperature in figure This data was made available to us by our French collaborators. The integration on the right hand side of equation 2.22 is performed over each band starting at the isothermal core radius and extending to the outside wall of the quartz tube (see Appendix D). In absolute units, the radiated output power as a function of the total power emitted by the isothermal arc is given by: P OUT = P OUT P B P B (2.23) 46

58 Figure 2.18: The ratio of power in each spectral band to the total power in all bands, as a function of isothermal arc temperature. Plotted using the NEC (corresponding to an arc radius (Rp) = 5mm) for each band Fig shows the range of isothermal arc temperatures that need to be considered is approximately 10,000K-13,000K. The total transmissivity factor P OUT P B was estimated to vary from 80% at an isothermal arc temperature of 10,000K to 70% at 13,000K. The details of this calculation are shown in Appendix D. A lookup table was generated to create points between the lowest and highest temperature as shown in Table 2.2. Transmissivity factors for temperatures between tabulated values are 47

59 determined through a linear interpolation process. Also, it was assumed that over the current range of interest, the isothermal radius is maintained constant at 5mm. Table 2.2 Total Transmissivity factor as a function of isothermal core temperature Tisothermal_Arc [K] Transmissivity_Factor This (isothermal arc temperature dependent) transmissivity factor was implemented in the estimated lamp model (with corrected arc radius) by multiplying it to the power radiated by the isothermal core, P B and this modified model is referred to as the modified full lamp model. The modified full lamp model data is in better agreement with the experimental data, compared to the estimated lamp model, as shown in Fig The remaining discrepancy between the modified model and experimental model above the 1*10 5 W Input Power level is likely caused by the simplifying assumptions made during the calculations of equation An attempt was made to also understand the discrepancy that is 48

60 observed in the shape of the curve below the 0.5*10 5 W Input Power level. A two dimensional NEC lookup table was implemented in the modified lamp model where the NEC is a function not only of the temperature but also of the isothermal radius Rp. It was expected that at low input power levels when the arc has an isothermal radius much smaller than 5mm, the two dimensional NEC implementation would lead to a better agreement however the improvement was found to be insignificant. Moreover, the spectral radiation of the arc was also studied for lower arc radii to see if it differs from the profile at 5mm, but even this could not explain the shape of the curve. However, it was seen that the shape of the curve below the 5*10 5 W level is sensitive to the choice of power loss in the other four regions of the lamp (namely the electrode interfacial and constriction regions). For example, by assigning these four regions a combined voltage which is 20% of the positive column voltage (which varies rather than staying constant at 50V), the agreement of the radiative efficiency curve (as shown in fig. 2.20a) with the experimental curve is much better (note that the discrepancy above 1*10 5 W still remains and is due to the simplifying assumptions made during the calculations of equation 2.18). However, the modification to the combined voltage of the four regions has a negative effect on the Total Lamp Voltage predicted by the model as shown in fig. 2.20b and does not match with the experimental profile as close as the estimated lamp model with corrected radius shown before in fig In summary, it appears that at lower currents the specifics of the radiative efficiency curve may be more influenced by the accuracy of the models for the electrode interfacial and constricted regions. From an engineering point of view however, it is simpler and more practical to use the experimentally known radiative efficiency profile in the modified full lamp model instead of the radiation model developed so far as shown in figure Therefore, the known experimental 49

61 profile for radiative efficiency of the lamp is used to determine the output radiative power in the lamp model as follows: Total Power Radiated (from the lamp) = v lamp i lamp η lamp (2.24) where η lamp is the experimentally determined radiative efficiency and is given as a function of input power as shown in table 2.3. η lamp values for input power values that lie in between tabulated values are determined through a linear interpolation process. Table 2.3 Experimental radiative efficiency of the lamp as a function of the input power Lamp input power in Watts Radiative efficiency ( η lamp ) 0 0 6, , , , , , ,

62 Figure 2.19: Radiative efficiencies determined from experiment and modified full lamp model. Figure 2.20a: Radiative efficiency of the attempted model and the experimental 51

63 Figure 2.20b: Total Lamp Voltage of the attempted model and the experimental Effect of the Vortex on Transport Coefficients: Fresh argon gas at room temperature is injected into the lamp in a tangential direction through openings inside the lamp. As this gas enters tangentially, it has a large rotational (or angular/azimuthal) velocity and a relatively small axial velocity. As the flow begins its journey through the positive column, it can be considered to be rotating like a solid body. Since the gas is swirling, its pressure distribution has to satisfy the centripetal force field in order to attain an equilibrium rotation. We can apply the Navier-Stokes equation in the radial direction, in cylindrical coordinates to find this pressure distribution. The pressure distribution within the positive column which must be satisfied by the vortex is given by: p = mω2 r A (2.25) 52

64 dp dr = mω2 A r r (2.26) dp dr = ω2 ρr (2.27) where m is the mass of the gas, ω is the angular velocity and A is the cross sectional area. Therefore, the creation of a centripetal force inside the lamp, results in a radial pressure distribution which is lower towards the center of the lamp and higher near the outer edge of the lamp. Reference [4] shows the calculation of the radial pressure variation and shows that a pressure gradient exists but is small. Hence the variation of the transport parameters versus pressure (like electrical, thermal conductivity, absorption coefficient) is neglected and the vortex is therefore assumed to play no role in affecting the efficiency of the arc. Revisiting the "No Axial Flow assumption: Until now the radial profiles of the lamp parameters were discussed with the assumption that the flow remains the same axially throughout the positive column and the contents were hence assumed stationary. However, in reality the lamp parameters also vary along the axis. The major reason for this variation is due to the dynamic effects of the fluid flow. In general the rotational flow of the plasma at the beginning of the positive column can be assumed to be that of a solid body rotation i.e. the flow is laminar. However due to viscous drag, the outermost layer of the rotating gas decelerates as it travels through the lamp. Therefore, the assumption of laminar flow may fail to hold at a certain distance from the cathode end. Moreover, as the argon gas travels even further it is known to get turbulent. In the turbulent regime, there is severe mixing and hence the radial pressure distribution explained above for the 53

65 laminar region becomes flat. This axial variation of the radial pressure profile results in a pressure difference axially and causes a reverse flow of the plasma. This reverse flow (shown in figure 2.21) is driven by the pressure difference created by the existence of a slightly lower pressure core within the laminar region of the positive column. Figure 2.21: Cross sectional view of the flow pattern inside the lamp In the past, turbulence has been one of the suspected reasons for the higher than expected thermal wall loading, however we can use experimental data available from a reference [4] and see the magnitude of the effect of turbulence. From a literature study [5], it has been observed that the effect of increasing the azimuthal velocity in a vortex is a more turbulent flow (in other words, the flow becomes turbulent much earlier than before). Experimental studies showed that an increased azimuthal velocity, while keeping the mass flow rate constant, lead to a lower lamp radiative efficiency. Quantitatively, an 80% increase in the azimuthal velocity leads to a decrease in radiative efficiency by roughly 6-8%. Therefore, we can conclude that although turbulence does have an effect on the radiative efficiency, it is not very significant in comparison to the total discrepancy between the estimated full lamp model with corrected radius and the Mattson provided numbers for lamp efficiency. 54

66 2.1.7 Summary of the Full Lamp Model The full lamp model consists of the estimated full lamp model with the inclusion of the modifications that account for: for the reduced arc radius for the radiation absorption in the regions exterior to the isothermal core. Experimental agreement with theory was achieved by reducing the radius of the inside of the water-wall to 7mm and using the experimentally determined radiative efficiency profile of the lamp (eq. 2.24) to get the correct power balance amongst the different loss mechanisms; conduction, radiation, convection. The proposed full lamp model is capable of accurately estimating the lamp voltage, and the radiative efficiency (vs input power) for a given input current. 55

67 CHAPTER 3: THERMAL MODELING OF THE WAFER AND QUARTZ SUBSTRATE HOLDER The purpose of this chapter is to develop the thermal models of the wafer and quartz holder which can accurately predict the temporal evolution of their temperatures subject to the heat and radiation fluxes in the process chamber. 3.1 Thermal Model of the Wafer Fig. 3.1 shows a diagram illustrating the layout of the wafer, the quartz holder and the bottom lamps. Figure 3.1: The process chamber The radiation generated by the bottom lamps is used to bring the wafer to the desired intermediate temperature before the top lamps are pulsed. We wish to develop a model for the wafer such that knowing the power radiated by the lamp, we can estimate the temporal temperature rise of the wafer. The dynamics of the wafer temperature (T) as a function of space and time can be described with the help of the following power balance equation: 56

68 C p (T)ρ(T) T t = (κ Si(T) T) + ( F r ) (3.1) Where C p (T), ρ(t) are the specific heat capacity and density of the silicon wafer respectively, κ Si (T) is the thermal conductivity, and F r represents the net radiation flux at any given point. Due to the higher loss of heat from the edges of the wafer (relative to the center), the areas near the edge of the wafer would remain cooler than the central regions of the wafer if not compensated for. Therefore, to maintain uniform temperature across the wafer, the reflectors in the chamber are designed to compensate for the loss of heat from the edges by irradiating the edges slightly more than the central region of the wafer surface. As a result of this non-uniform irradiation profile, the wafer temperature T can be assumed to be the same throughout the entire silicon wafer. With this assumption, T loses its spatial dependence, and transforms into a function of only one variable - time. Integrating equation 3.1 over the wafer volume and applying the divergence theorem results in the following equation: dt C p (T)ρ(T)th Si = κ dt N T T C 2ε l Si (T)σT 4 + P rad η opt α (3.2) gap S w where 2ε Si (T) represents the total hemispherical emissivity of the upper and lower surface of the silicon wafer, σ is the Stefan Boltzmann constant, th Si represents the wafer thickness (=0.775mm), α is the total hemispherical absorptivity of the wafer side exposed to the lamp radiation (~0.65) and T C represents the temperature of the quartz substrate. A model of the quartz substrate will be discussed in more detail later. l gap is the space between the wafer and the quartz holder (=1.4mm), S w is the planar surface area of the wafer (radius=150mm) and P rad represents the total radiated power (in watts) from the two bottom lamps. A portion of the power radiated by the lamps is also absorbed by the chamber reflectors before reaching the wafer, so 57

69 this loss is introduced into the model by multiplying P rad by a term η opt which accounts for these optical losses. This term will be referred to as the chamber efficiency in the rest of the document. Fig 3.2 summarizes the heat flux balance at the surface of the wafer. The first term on the right hand side of equation 3.2 accounts for the heat conducted between the wafer and the quartz substrate. The space between the wafer and the quartz substrate is filled with nitrogen gas at 1atm pressure which has a thermal conductivity of κn= 0.03W/(m.K). The last two terms on the right hand side of equation 3.2 account for the total radiation emitted and absorbed by the wafer. The radiative emission of the wafer surface is modeled as black-body radiation (σt 4 ) with a correction term, the total hemispherical emissivity (εsi(t)). The radiation from the two bottom arc lamps, represented by P rad is given by: P rad = 2 η lamp P in = 2 η lamp v lamp i lamp (3.3) where v lamp, i lamp, η lamp is the lamp voltage, lamp current and lamp radiative efficiency respectively. The factor 2 is multiplied to the right hand side of eq. 3.3 because there are two bottom lamps. Figure 3.2: Heat flux balance at the wafer s surface 58

70 Equation 3.3 is substituted into equation 3.2 to obtain an expression for the change in the wafer temperature: dt = κ T T dt N C 2ε Si (T)σT η lamp v lamp i lamp α η opt l gap th Si C p (T)ρ(T) th Si C p (T)ρ(T) S w th Si C p (T)ρ(T) (3.4) This is an ordinary differential equation to predict the time evolution of the wafer temperature. The density and specific heat capacity of silicon C p (T), ρ(t) in equation 3.4 can be approximated as polynomial functions of temperature [10]. The following approximate functions can be used to estimate the thermodynamic properties of crystalline silicon, for the temperature range of interest (25C 1000C): ρ(si) (T) = * 10 2 T kg/m 3 (3.5) Cp(Si)(T) = * 10 1 T 1.04 * 10 5 T 2 J/K/kg (3.6) These two terms can be combined together with the wafer thickness into a single polynomial term denoted as β(t): β (T ) = th Si ρ (Si) (T) C p(si) (T) = th Si (1.95* * 10 2 T 2.66 * 10 2 T * 10 7 T 3 ) (3.7) The total hemispherical emissivity of silicon is also a function of temperature and dependent on its doping profile [11] - [12]. Heavily doped silicon has an emissivity which stays in the range for the temperature interval of C, while lightly doped silicon has an emissivity which varies from 0.12 to 0.7 for the same temperature interval. The emissivity of lightly doped silicon (78Ωcm) can be approximated with the following equation: 59

71 ε Si = { T 0.3 for 280 < T < 660C 0.7 for 660C < T (3.8) 3.2 Thermal Model of the Quartz Substrate: This model is used to estimate the temporal evolution of the quartz substrate temperature T C, used in equation 3.4. The quartz substrate is subject primarily to the conduction and radiation fluxes from the wafer. The temperature of the quartz is assumed to be uniform throughout its volume. Similar to equation 3.4, an ordinary differential equation can be written to describe the thermal model of the quartz substrate: dt C dt = κ N T T C + α C ε Si (T)σT 4 l gap th C C pc (T)ρ C (T) th C C pc (T)ρ C (T) 4 2 ε C (T)σT C th C C pc (T)ρ C (T) (3.9) Where: th C : is the quartz substrate thickness C pc (T), ρ C (T): are the specific heat capacity and density of quartz substrate respectively α C : is the total hemispherical absorptivity of the quartz to wafer radiation ε C (T): is the total hemispherical emissivity of quartz The first term on the right hand side of equation 3.9 represents the heat conduction flux from the wafer. The second term represents the absorption of radiation from the bottom surface of the wafer and the third term represents the emission of radiation from the quartz. 60

72 3.3 Validation of the Combined Wafer and Quartz Substrate Models Fig 3.3 shows a block diagram of how the models of the wafer, quartz substrate and arc lamp are interconnected. The wafer and quartz substrate models defined by equations 3.4 and 3.9 were combined with the full lamp model derived in chapter 2. This full system was simulated using three different current profiles (as shown in fig. 3.4a,b,c) that were recorded experimentally at the Mattson facility. Figure 3.3: Block diagram of the full system simulation setup Since the lamp model has been independently tested already and the results have already been discussed in chapter 2, the focus of this test was to validate the performance of the wafer model only, therefore only the wafer temperature quantities will be shown. The wafer temperature profile predicted by this system can be compared to the corresponding experimentally recorded wafer temperature profile and can be used to indicate the accuracy of the wafer and quartz thermal models. 61

73 To begin with, the optical efficiency of the process chamber η opt used in the wafer model of equation 3.4 is unknown and must be determined by trial and error until an agreement is seen with the experimentally recorded response of the wafer temperature. This had to be done only once and the value determined was used in all future tests. This optical efficiency of the chamber η opt was found to be ~0.23. Using the value of η opt as 0.23, the system was simulated for three test cases and the wafer temperature profiles from experiment and model were compared. The results of this simulation are shown in the following figures for various ramp rates and current profiles. Figure 3.4a: Experimental and model estimated wafer temperature profiles for a 60C/sec ramp assuming an initial wafer temperature of 255C 62

74 Figure 3.4b: Experimental and model estimated wafer temperature profiles for a 70C/sec ramp for an initial wafer temperature of 132C Figure 3.4c: Experimental and model estimated wafer temperature profiles for a 130C/sec ramp assuming an initial wafer temperature of 230C 63

75 It should be mentioned that the experimentally recorded temperature in figs. 3.4 (black curves) are accurate only for temperatures greater than 300 degrees C, for the following reason: This is because of the limitation of the UFR which estimates the wafer temperature based on the wafer s radiative emission. Since the wafer does not emit sufficiently until it has reached around 300 degrees C, the UFR generates poor signal to noise ratios and hence returns invalid measured temperatures. As a consequence of this, the initial starting temperature of the wafer in the experiment was unknown. However, for the purpose of simulating the wafer model, an initial value of the wafer temperature was required as an input. This initial wafer temperature for the purpose of this test was therefore estimated from the final experimental temperature, the known ramp rate of the wafer and the total time taken by the wafer to get to the final temperature. From figures 3.4a,b,c, it can be seen that the estimated wafer temperature from the model shows good agreement with the experimentally recorded profile for every case. The quantity of interest is the ramp rate of the wafer temperature and in most cases there is negligible deviation between model s estimated temperature ramp rate and the experimental ramp rate. Any deviation seen is a result of the small deficiencies in models used in the system. However, the difference in temperature between the experimental and model never exceeds 30 degrees in any case. The source of this deviation is suspected to be the parameters used in the models such as wafer absorptivity which can take a different (temperature dependent) profile in reality from the one assumed in the model and small changes in absorptivity can make a considerable impact. Moreover, a combination of other factors such as free convection in the chamber, the contamination of the gas/water in the lamp (leading to deviation of the lamp s radiative 64

76 efficiency from the one used), the changing efficiency of the process chamber (η opt ) over a period of time can also play a role. In summary, the observed deviation between the model s estimated wafer temperature and the experimentally measured temperature is small in most cases. Moreover, because the wafer temperature measurements are accurate for temperatures greater than 300C, any deviations seen can be corrected for by a feedback control system. Therefore the accuracy of the wafer model developed was deemed sufficient for the purpose of the project. 65

77 CHAPTER 4: CONTROL OF THE SYSTEM The previous chapters discussed the dynamics of the silicon wafer and arc-lamp. This chapter introduces the control system which will be used to make the wafer temperature track a reference temperature profile. Details of individual blocks within the control system diagram are described in more detail in Appendix E. 4.1 Overall System with Controller A block diagram of the overall system with a tracking controller denoted as Temperature Controller is presented in figure 4.1. The system has an outer temperature control loop for the wafer and an inner current control loop for the arc lamp. The physical input set by the user is the required wafer temperature (Treq_wafer). Both the temperature and current controller are discrete time systems, whose analog measurement inputs, temperature and current, are converted into discretized signals. The required temperature input (Treq_wafer) is also discretized. The model of the arc lamp and the wafer prior to discretization is a non-linear deterministic continuous-time dynamical system. Figure 4.1: Overall system block diagram The outer temperature control loop operates at a sampling frequency of 1 khz, or an equivalent sampling time Δt of 1 ms. The inner current loop constituting the controller and the 4-phase chopper was already designed a few years ago [13]. The inner current loop has a first order time 66

78 constant of τ =0.3 msec and the effective sampling frequency is 8 khz, implying that Δt=0.125 ms: note each chopper operates at 2 khz but because of interleaving the effective sampling rate is 8 khz. The inner current loop is replaced in our system by the following equation: i lamp [k] = i req [k] ( t τ + t ) + i τ lamp [k 1] ( τ + t ) (4.1) A sample waveform for the full inner loop [13] and a graph of equation 4.1 is shown in Fig 4.2 and Fig. 4.3 respectively. The two results are in good agreement with each other. Figure 4.2: The step response of the full current loop Figure 4.3: The step response of the first order low pass equation

79 4.2 Requirements of the Control System The Temperature Controller shown in figure 4.1 should be designed to track a temperature ramp. The temperature of the wafer is ramped up to around 900C at a rate chosen by the user which would typically be between degrees/sec. The initial wafer temperature can be between C and is not known precisely a priori because of measurement limitations: the measuring device (the Ultra-Fast Radiometer) generates a poor signal to noise ratio at low wafer temperatures due to the low amount of radiation emitted by the wafer. The wafer temperature measurements are not reliable until the wafer reaches around 300C. Consequently, the design of the controller should take into account the absence of a temperature measurement or feedback below 300 C. 4.3 Proposed Temperature Controller One solution to provide tracking of the wafer temperature ramp during the absence of feedback is to use the wafer and lamp models to estimate the lamp current set-point required for wafer temperature tracking, thereby operating the controller in an open loop manner. Assume that the required wafer temperature is represented by a function g(t) which takes on the form: T req_wafer = g(t) = a0 + a1 t (4.2) where a0 is the initial wafer temperature and a1 is the requested wafer temperature ramp rate. The equation which models the temperature evolution of the wafer (equation 3.4) was derived in chapter 3 and is repeated here: dt dt = κ T T C N l gap th Si C p (T)ρ(T) 2ε Si (T)σT 4 th Si C p (T)ρ(T) + 2 η lamp v lamp i lamp α η opt S w th Si C p (T)ρ(T) (4.3) 68

80 where T is implicitly assuming T(t). We can rearrange equation 4.3 to solve for a future value of the required lamp current, i lamp (t + t), as follows: i lamp (t + t) = S wth Si C p (T)ρ(T) 2η lamp v lamp α η opt [ dt dt + κ T T C N l gap th Si C p (T)ρ(T) + 2ε Si (T)σT 4 th Si C p (T)ρ(T) ] (4.4) Substituting dt = a1, for the required ramp rate and collecting terms, we obtain: dt i lamp (t + t) = a1 β(t) + 2ε(T)σT 4 ( T Tc) + κ N l gap 2 v lamp η lamp η opt α ( 1 S ) w (4.5) where : β(t) = th Si C p (T)ρ(T) = th Si (1.95* * 10 2 T 2.66 * 10 2 T * 10 7 T 3 ) (4.6) Since the temperature controller will be implemented using a discrete time controller, equation 4.5 is represented in discrete time as follows: i lampicm [k + 1] = a1 β(t[k]) + 2ε(T[k])σT[k] 4 ( T[k] Tc[k]) + κ N l gap 2 v lamp [k] η lamp [k]η opt α ( 1 S ) w (4.7) Equation 4.7 can be used to calculate the required current for obtaining a particular time dependent temperature change of the wafer. However, the evaluation of eq. 4.7 requires the knowledge of all the parameters on the right hand side of the equation. While β(t[k]), a1, ε(t[k]), σ, κ N, α, S w, l gap, η opt are known, T[k], Tc[k], v lamp [k], η lamp [k] are unknown and must be computed. To determine v lamp [k] and η lamp [k] in equation 4.7, the lamp model can be invoked for the last known value of current, i.e. i lamp [k], to predict the lamp 69

81 voltage and radiative efficiency. T[k], the wafer temperature, can be estimated using the discretized version of equation 4.2, equation 4.8a and 4.8b: T[k] T C [k] dt[k] = [ Κ N l gap th Si C p (T[k])ρ(T[k]) 2ε Si (T[k])σT 4 th Si C p (T[k])ρ(T[k]) + 2 η lamp [k]v lamp [k]i lamp [k]α η opt ] t (4.8a) S w th Si C p (T[k])ρ(T[k]) T[k + 1] = T[k] + dt[k] (4.8b) and the known or guessed initial wafer temperature value. Tc[k], the quartz substrate temperature, can be calculated using the discretized version of equation 3.9, equation 4.9a and 4.9b, and the known initial substrate temperature. T[k] T C [k] dt C [k] = [κ N l gap th C C pc (T C [k])ρ C (T C [k]) + α C ε Si (T[k])σT 4 th C C pc (T C [k])ρ C (T C [k]) 2 ε C (T[k])σT C [k] 4 ] t (4.9a) th C C pc (T C [k])ρ C (T C [k]) T C [k + 1] = T C [k] + dt C [k] (4.9b) Therefore using the full lamp model, and equations 4.8 and 4.9, all the required parameters can be calculated and there are no more unknowns on the right hand side of equation 4.7. Equation 4.7 can then be used to predict the value of i lampicm [k + 1]. Equation 4.7 along with the full lamp model, the wafer model equation 4.8 and the quartz model equation 4.9 are collectively called the Internal Control Model (or ICM). The ICM is used to predict the lamp current required to track the desired wafer temperature profile. If the thermodynamic and transport coefficients (of the wafer and lamp) used in the Internal Control Model match the real world values and the system of equations accurately describe the real world devices, then perfect tracking of the requested temperature is obtained. In practice, this is difficult to achieve since most coefficient values are approximations of the real ones and the dynamic equations are based on several assumptions and simplifications. Errors in the parameter estimations will cause the wafer temperature to deviate from the required profile. If this is the case, then we need to be able to detect this deviation and correct for it. Temperature 70

82 detection and correction using feedback is only possible after the wafer temperature measurements become available, namely when the wafer reaches approx. 300C. The feedback controller will compensate for mismatches in parameter estimations and simplifying assumptions made in deriving the system models. The corrective term, i lamp [k + 1] can be implemented using a P (proportional) controller that is engaged after the wafer temperature measurements become available and works in conjunction with the Internal Control Model. The ICM computes an approximate value of the required lamp current and the P controller adjusts this approximate value based on the difference between the requested and the measured wafer temperature to produce a lamp current equal to: i lamp [k + 1] = i lampicm [k + 1] + i lamp [k + 1] (4.10) A diagram showing the proposed temperature controller architecture is shown in figure 4.4. In this diagram, the ICM or Internal Control Model is shown as being comprised of the Wafer Model and the Lamp Model. The Wafer Model here is a collection of equations: control equation 4.7, the wafer model equation 4.8 and the quartz model equation 4.9. The details of every block in the temperature controller are presented in Appendix E. Note that all the blocks inside the temperature controller in fig. 4.4, except the Lamp Model are sampled at a frequency of 1 khz. The Lamp Model has to independently be run at a higher sampling frequency (>10 khz) in order to obtain a solution which does not contain an aliased signal. Therefore 16 khz is taken to be the default sampling frequency of the Lamp Model block unless otherwise specified. Simulation of the system shown in fig. 4.4 was therefore done at a global sampling frequency of 16kHz where the temperature controller (excluding the lamp model) was only updated once every 16 times. 71

83 Figure 4.4: Architecture of the proposed control system Since the system to be controlled (shown on the right half side of fig. 4.4) consisting of the N- phase Chopper, the Arc Lamp and the Wafer Assembly is not currently available for real time testing, the analysis of the system had to be done by simulation. Therefore the above mentioned systems were replaced by their simulated versions i.e. their models, in the full system. This full simulation setup is shown in figure 4.5. Figure 4.5: Full simulation system setup 72

84 Limiting the rate of change of current: When the system shown in figure 4.5 is run, the lamp (or lamp model) is initially assumed to be running at a current of 25A. In the first sampling cycle, the ICM initially estimates the current required for wafer temperature tracking and passes this current value to the current controller, which results in a step change in the lamp current. Unfortunately, upon applying a large enough step change in the current to the lamp, a large transient spike is observed in the lamp voltage waveform. Such a transient behavior, when a step current from 25A to 225A is applied to the lamp model (with the outer loop disengaged) is shown in fig The response shown in figure 4.6 was obtained using discrete time simulation of the lamp model when simulated at a sampling frequency of 96 khz. Note that the higher frequency of 96kHz was used in this case just to obtain higher output data resolution. The injection of such a step current into the lamp is known to significantly accelerate electrode erosion and the resulting voltage response from the lamp model also poses a potential problem for the outer loop as described next. Figure 4.6: Lamp voltage and current, when a step current of 225A is applied to the Lamp Model 73

85 Notice how there is an initial large increase in the lamp voltage in response to the applied step current. The physical mechanism behind this large spike is described as follows: when a large step change in current is applied to the lamp, the lamp voltage also responds (increases) in a step manner to a very large value because the resistance of the lamp cannot change instantaneously due to thermal inertia. This large voltage causes a large amount of power to be injected into the lamp. After a short time, the arc responds to the large power input by rapidly expanding and increasing in temperature, causing the voltage to drop in an exponential manner and approach the steady state value. The lamp voltage signal, as shown in figure 4.5, is used by the ICM to estimate the lamp current required for wafer temperature tracking. Since the duration of the voltage spike (such as the one shown in fig. 4.6) is much smaller (< 0.1 msec) than the sampling time period of the outer loop temperature controller (1ms), this voltage spike does not pose an immediate problem to the outer loop as the outer loop would miss seeing this very fast transient. However, this voltage spike does pose a potential problem in the event that the outer loop frequency is increased. Moreover this large power pulse injection into the lamp can also cause undesired effects on the wafer temperature and the lamp electrodes. A scenario where the outer loop (temperature controller) frequency is increased to 96kHz (same as that of the Lamp Model in this case) and is used to set the lamp current for wafer temperature tracking is shown in figure 4.7. As can be seen, the effect of the lamp voltage spike is a large disturbance on the lamp current setpoint estimated by the temperature controller. As mentioned eariler, any spike or step change in current is known to have a large negative impact on the lamp electrode erosion and hence is unacceptable. 74

86 Figure 4.7: Lamp voltage and current when the outer loop (ICM) is run at the same frequency as the Lamp Model and controls the lamp current for wafer temperature tracking A solution to circumvent the lamp output voltage spike and the electrode erosion issue is to postprocess the signal from the temperature controller by limiting the ramp rate of the current. A rate limiting function is implemented by forcing the current requested by the temperature controller, i lamp [k + 1] to go through a current conditioning block. The function of this block is to limit the rate of change of current to a maximum of 1000A/s and also to place a limit on the minimum lamp current at 25A. The minimum limit will ensure that the arc current never drops below 25A ensuring that the arc will never extinguish when the controller operates. Note that the 1000A/s limit chosen on the rate of change of current was assumed to be the same as that used by Mattson for the top lamps and is chosen to circumvent the electrode erosion issue (the lamp dynamics are faster and can easily keep up with this rate of change of current). This 1000A/s value may change in future and an exact value to use will be decided by Mattson through a series of experiments. The revised control diagram incorporating the current conditioning block is shown in figure

87 Figure 4.8: Proposed Temperature Controller with the Current Conditioning block added. Fig. 4.9 shows that if we limit the rate of rise on the current setpoint signal we are able to suppress the voltage spike and also reduce the electrode erosion caused by fluctuating currents. Figure 4.9: Lamp voltage and current when the outer loop (ICM) is engaged but the current setpoint signal is ramp rate limited to 1000A/s. 76

88 A consequence of limiting the rate of rise of the lamp current is that temperature tracking accuracy is affected during the period that rate limiting is active. The inaccuracy is seen during the very start of the temperature ramp, since the controller requests a step change in current at this point in time. As shown in figure 4.10, the observed effect of this current ramp limitation is a small lag in temperature tracking. This is to be expected since the step change in current requested by the controller and required for proper tracking, cannot be delivered instantly to the lamp. However, the overall impact on temperature tracking is only observed very briefly at the start of the ramp and the resulting constant offset between the requested and actual temperature is acceptable for the purpose of this project. Figure 4.10: The impact of limiting the Lamp Current ramp rate to 1000A/s is observed as a small lag in tracking the requested temperature. 77

89 Transition from Open loop to Closed loop Control: As mentioned already, the wafer temperature measurements are unavailable until the wafer attains a value of approximately 300C. Therefore the ICM works in an open loop manner below a temperature of 300 C to provide temperature tracking. However, when the wafer reaches or exceeds 300C and the measurements become available, the P controller is engaged and the wafer temperature is tracked in closed loop beyond that point. Such a system was simulated for three different cases. Case 1 shows the simulation of the ideal scenario, i.e. no imperfections in the models implemented in the ICM while Case 2 shows the non-ideal scenario i.e. the existence of some deficiencies in the models used in the ICM. Case 3 shows the simulation of the ideal scenario but where the initial wafer temperature is unknown and an artificial value of the initial temperature is given to the ICM. The value of Kp for the P controller used in these simulations was 5. In case 1 shown in figure 4.11, there are no imperfections in the system models used in the ICM, therefore the ICM is able to provide perfect tracking of the requested ramp rate (ignoring the constant offset) even before the engagement of the P controller. However, when the wafer reaches 300C, the P controller engages and a spike in the current is observed. This spike is caused by the temperature offset between the actual and requested profile caused by the current ramp rate limitation. This spike is unacceptable in the current profile as it can lead to accelerated erosion of the anode electrode. 78

90 Figure 4.11: Case 1: Simulation of the ideal scenario where the models in the ICM are assumed to perfectly describe the real system. In case 2, shown in figure 4.12, an error is purposely incorporated into the ICM to replicate the scenario of a non-ideal system model. This was done by artificially increasing the absorptivity of the wafer model (in the ICM) by 10%. This meant that the wafer model in the ICM and the wafer model in the simulated real wafer differed by 10%. Figure 4.12 shows that initially, in the open loop mode, when the ICM alone is providing the temperature tracking, the real wafer temperature deviates from the requested temperature ramp rate. This is expected since an error had been incorporated into the ICM which results in inaccurate tracking. Once the wafer reaches 300 C, the P controller engages and there is an even worse impact on the lamp current as the P controller corrects for the difference between the requested and measured temperature. The larger the difference between the requested temperature ramp and the measured wafer temperature, the larger the transient current spike observed. 79

91 Figure 4.12: Case 2: Simulation of the non-ideal scenario where a 10% higher wafer absorptivity was assumed for the wafer model in the ICM as compared to the real wafer. The current spike seen in the aforementioned two cases is not acceptable in the current profile as it is suspected of enhancing electrode erosion in the lamp. However, a control option exists to reduce this current transient and ensure a bumpless transfer from open loop operation to closed loop. The control option is to reset the requested temperature T req_wafer [k] to the first measured temperature value upon engagement of the P controller. Therefore, immediately after engagement of the P controller, the temperature difference between the requested and the measured temperature seen by the P controller is zero and there is no current transient. The tracking of the temperature ramp hence forth is performed with a new requested temperature 80

92 function, g(t) which is calculated using the first measured temperature value as the initial temperature recorded and the ramp rate requested by the user. The performance of the control system for the same conditions as in case 2 but with the bumpless transfer (reset) option implemented is shown in figure Note that this method minimizes the transient current spike significantly, but in effect does require an offset change in the input tracking function. This offset change in input tracking function however, is acceptable for the purpose of the project because the ramp rate of the wafer following the offset change is the same as the one requested. Having zero error upon engagement of the P controller also means more flexibility in the choice of the gain Kp. A Kp = 15 was used for this case and was found to deliver adequate tracking performance, therefore these P controller values are used in the remainder of this document and the reason for this choice will be explained shortly. Note that it may appear from fig that the error in tracking after the engagement of the P controller is zero but in reality there is a very tiny amount of error which stays more or less constant and does not exceed 4 degrees C for this case. This tiny error will exist in general when the models used in the ICM are not perfect. 81

93 Figure 4.13: Case 2: The simulation of the non-ideal scenario with the reset option implemented. As seen there is no current spike upon engagement of the P controller at t=2.45sec. For Case 3, the ideal scenario was simulated with the aforementioned reset option incorporated but where the initial wafer temperature was not known. In this case the ICM is given an artificial value for the initial wafer temperature = 25C to begin with while the real wafer was given a value of 85C as the initial temperature. It can be seen from figure 4.14 that the artificial value given to the ICM is used to create a requested wafer temperature profile. This simulation however demonstrates that the lack of knowledge of the initial wafer temperature does not lead to any current spikes in the lamp current profile and the real (or actual) wafer temperature ramp follows the requested ramp rate unaffected. 82

94 Figure 4.14: Case 3: Simulation of the ideal scenario where the initial wafer temperature is unknown. The transition to closed loop is still smooth. Choice of Kp: The criteria for choosing Kp is purely based on controlling the shape of the current profile. The fact that the current profile must be smooth and free of spikes plays a bigger role in determining the Kp than the wafer temperature response. A major constraint for the choice of Kp comes from the fact that the rate of change of current is limited to 1000A/s by the current conditioning block. This hard limit on the rate of rise of current cannot be removed since it guarantees that the electrode life time is not being compromised. Therefore, if the P controller requests a change in current greater than this 1000A/s limit then its requested current will not be delivered to the lamp 83

95 and this can cause the P controller to perform in an undesirable way and introduce spikes into the current profile as shown in fig Figure 4.15: Simulation showing the scenario where the P controller s (Kp = 35) request exceeds 1000A/s which results in a spike in the current profile Therefore it is necessary to design the P controller such that its request never exceeds 1000A/s for even the worst case possible i.e. the highest change in error encountered by the P controller. The simulation for this worst case was done in a way which is similar to case 2, as shown in figure 4.12, but instead of incorporating an artificial error of 10%, an error of 50% was incorporated. A simulation of this scenario with Kp =15 is shown in fig Note: an error of 50% is referred as the worst case only to test the robustness of the system against such a large error and the chances of such a scenario occurring in reality are very highly unlikely (since the system models developed were shown in chapter 3 to be in close agreement with the experimental results). 84

96 Figure 4.16a: Simulation of the worst case scenario where a 50% error is incorporated in the ICM which results in a large deviation of the wafer temperature prior to the P controller engagement and a huge corrective action after its engagement Figure 4.16b: Zoomed in version on Figure 4.16a 85

97 According to figure 4.16a, before the engagement of the P controller (t < 4.3s), the presence of a large error in the ICM causes the actual wafer temperature to deviate largely from the requested temperature profile. While the requested ramp rate is 135C/s, the actual wafer only does 65C/s. After the wafer reaches 300C, the temperature measurements become available and the requested temperature is reset to the first measured value, as shown in figure 4.16b (the zoomed in version of fig. 4.16a). At this instant the P controller would see zero error and produces zero output. However, because of the error incorporated into the ICM, the real wafer again begins to deviate away from this new requested profile. Since the sampling time of the temperature controller is 1ms, the error seen by the P controller in the next time step (1ms later) is 0.07C (= (135C/s- 65C/s)/1000). This change in error from 0 to 0.07C is the maximum that the P controller will encounter during the process because, this error will cause a corrective action from the P controller which will result in a much lower change in error in the subsequent time steps. Therefore we can use this known, maximum change in error (for the worst case) to estimate the maximum usable gain Kp to cause the output of the P controller to change at 1000A/s. error = T req_wafer T wafer (4.11) Change in P controller output = change in error Kp (4.12) 1000A/s = 0.07C/ms Kp = 70C/s Kp (4.13) Kp = 1000/70 = ~15 (4.14) Therefore a Kp = 15 will never cause the change in the P controller s output to exceed 1000A/s for even the worst case scenario. Hence ensuring that the P controller can always deliver its request without any obstruction from the current conditioning block. From fig. 4.16b, it can be seen that the requested current and the lamp current overlap each other. Note that the value of Kp =15 was chosen for a 1000A/s limit on the rate of change of current but can still be used even if 86

98 the 1000A/s limit is increased. But if the 1000A/s limit is reduced then the value of Kp must be recalculated using the approach shown above. In summary, it can be seen from fig 4.16a that, after the engagement of the P controller, the current profile is smooth and the wafer temperature follows the requested temperature profile very closely. After the engagement of the P controller, the error remains more or less constant at around 10 degrees and this size of error is acceptable for the project. Although the tracking response of the P controller is sufficient for the purpose of the project, a PI controller with Kp= Ki= 15 was also tested. The PI controller gave a slightly better tracking performance by having a lower overall error. Therefore, either controller (P or PI) can be used as a feedback controller in the proposed temperature controller. 4.4 Performance of the Control System: This section will show the improvement brought about by the proposed temperature controller relative to the existing control system used at Mattson s Facility. The main improvement is the smoothness of the current profile. The current profile simulated for a number of cases is free of any oscillation. In addition, the ramp rate of the wafer temperature is steadier and follows the requested profile very closely. Two cases will be shown to demonstrate the improvement in the current smoothness over the existing system: one for a 130C/sec ramp (figures 4.17a, 4.17b) and another for a 70C/sec ramp (figures 4.18a, 4.18b). In each case, the experimentally recorded lamp current and wafer temperature (using Mattson s existing controller) are shown first and then the same quantities are shown for the new proposed temperature controller. 87

99 Case 1: 130C/s Figure 4.17a: Experimentally Recorded Wafer Temperature and Lamp Current for a Ramp Rate of 130C/s Figure 4.17b: Performance of the Proposed System showing the Wafer Temperature and Lamp Current for a Ramp Rate of 130C/s. 88

100 Case 2: 70C/s Figure 4.18a: Experimentally Recorded Wafer Temperature and Lamp Current for a Ramp Rate of 70C/s Figure 4.18b: Performance of the Proposed System Showing the Wafer Temperature and Lamp Current for a Ramp Rate of 70C/s. 89

101 4.5 Alternative/ Less Computationally Intense Version of Control System Architecture The proposed temperature controller can be, from an implementation point of view, computationally intensive because of the incorporation of the full lamp model. An alternative system which can be used to perform temperature tracking is to use the real time voltage measurements across the lamp (if available) to substitute using the lamp model in the ICM. This measured voltage value can be used in equation 4.7 in place of the voltage estimated by the full lamp model. The radiative efficiency of the lamp is stored in a lookup table as a function of input lamp power and can be substituted in place of the radiative efficiency outputted from the lamp model. This alternative is shown in figure Figure 4.19: Alternative system architecture. Real time lamp voltage measurement replaces the lamp model. The results of the performance of this system are not available since it requires the measurement of the lamp voltage in real time which is only possible in an experimental setting. However, the performance of the above system is expected to be very similar to the system which includes the lamp model. This comes from the fact that the lamp model is sufficient (as demonstrated in chapter 2) to predict the lamp voltage which is in very close agreement with the measured lamp voltage. 90

102 CHAPTER 5: CONCLUSIONS The objective of this thesis was to develop a control system capable of controlling the temperature ramp rate of a semiconductor wafer using two bottom lamps before flash annealing the top surface with four lamps. A major requirement of the controller was to generate a fluctuation free lamp current profile, since it is known that a fluctuating current profile leads to enhanced anode electrode erosion. The first step was to build a wafer and lamp model and validate both models using available experimental data. Chapter 2 presented the Full Lamp Model which is capable of accurately estimating the lamp voltage and radiated power for a given current. The modelling process started by first describing the electrical characteristic of two electrode regions and two constriction regions. The positive column constituting the fifth region was described using equations obtained from previous work aside from the method used to evaluate the radiation term. The radiation term was modeled using a net emission coefficient, initially with Rp=7 mm. The five regions were combined together and a model describing the lamp voltage as a function of current was produced using a numerical software package MATLAB. The positive column was constructed using five concentric cylinders which resulted in five coupled nonlinear ordinary differential equations describing the average arc temperature in each of the five concentric zones and one coupled algebraic equation relating the injected current to the axial electric field in the positive column. The positive column voltage was a post processed computation. The comparison of the results of the model with the experimental results showed two major discrepancies; the model describing lamp voltage as a function of current was in error and the radiation leaving the quartz outer enclosure was not in agreement with the experimental results. The corrected model incorporated the following changes: 1) reduced isothermal arc radius (Rp = 91

103 5mm) by increasing the water-wall thickness and 2) employed an experimentally determined empirical factor to account for radiation absorption by the cold argon gas, water-wall and quartz tube. These changes resulted in very good agreement between the results from the model and the results from experiments. Chapter 3 presented the formulation of the wafer model, wherein an ordinary nonlinear differential equation was developed to describe the temporal evolution of the wafer temperature. This involved details involving the structure of the process chamber and the wafer s reaction to the lamp s radiation. The wafer model and lamp model were then combined in a system that was tested with the experimentally recorded current profiles. The resulting wafer temperature profiles were then compared with the experimentally recorded ones and it was shown that the agreement between theory and experiment was good. Chapter 4 presented the controller strategy for tracking a temperature ramp for the wafer. The control system is comprised of an inner current loop for controlling the arc lamp current and an outer temperature loop for controlling the wafer temperature. The temperature controller operates in open loop until a wafer temperature measurement with an acceptable level of accuracy is available (typically at a temperature around 300 C). Below 300 C, the temperature controller operates in open loop and the required lamp current for tracking the temperature is computed using the wafer and full lamp models. This was referred to as the internal control model (ICM). Once the wafer temperature exceeds 300 C, a P controller is engaged to adjust the output of the ICM to minimize the tracking error. To also ensure a bumpless transfer from open loop to closed loop, a reset option was also implemented. The first viable temperature measurement above 300 C is used as an initial temperature once the P controller is engaged. Finally, the full system consisting of the controllers combined with the lamp and wafer models was tested and the 92

104 performance of this system was compared with experimentally recorded data. It was shown that the full system is capable of tracking a temperature ramp accurately and the resulting lamp current profile is very smooth and free of any fluctuations. Thesis Contributions The thesis contributions are as follows: Constructed a full model of the lamp consisting of the five different physical regions of the lamp. The model is capable of providing a good estimate of the lamp voltage and radiated power. Produced a thermal model of the wafer to predict its temperature evolution upon exposure to wafer radiation. Developed the control system that provides wafer temperature control with a bumpless transfer from open loop to closed loop wafer and no fluctuations in the arc current. Future Work A number of issues have arisen as a result of this research and require further study. Possible avenues for future research include: Simulating the cathode constriction region including the fluid flow equations in order to correctly account for the effects of gas flow in the cathode constriction region. In particular, the impact of gas flow on the (temperature profile and hence the) voltage drop across the region should be clarified further and used to improve the model accuracy. 93

105 Studying radiation transport in the regions outside the isothermal arc in a more detailed manner and hence improve the agreement between theory and experiment for the radiative efficiency above the 100 kw input power level. Investigating the physical phenomenon which leads to a discrepancy between theory and experiment for the radiative efficiency below an input power level of 50 kw. Attempt a slightly different controller strategy in which the inner loop regulates the lamp s input power rather than the lamp current. Care must be exercised as this strategy may lead to fluctuating lamp current profiles which are not permissible. Introducing a model order reduction technique which would allow the internal control model to operate at a lower sampling rate. Introducing a noise source at the output of the current to account for the chopper switching harmonics and investigate the influence of this noise on the disturbance rejection and on the choice of sampling frequency for the internal control model. 94

106 REFERENCES [1] E. Pfender, and J. Heberlein, Heat Transfer and Modeling of Arc Discharges, in Advances in Heat Transfer, vol. 40. Elsevier, 2007, ch. 5, pp [2] M. S. Benilov, Understanding and modelling plasma-electrode interaction in highpressure arc discharges: a review, J. Phys. D: Appl. Phys., vol. 41, no. 14, July, [3] B. Halliop, A dynamic model of a high pressure arc lamp, M.S. thesis, Dept. Elect. And Comp. Eng., Univ. of Toronto, Toronto, ON, 2008 [4] J. B. Pearson, Aspects of energy transport in a vortex stabilized arc, Ph.D. dissertation, Dept. of Phys., Univ. of British Columbia, Vancouver, BC, [5] A. K. Gupta, D. G. Lilley, and N. Syred, Swirl Flows, Gordon & Breach Science Pub, [6] A. Savas, V. Ceyhun, Finite element analysis of GTAW arc under different shielding gases, Computational Materials Science, vol. 51, pp , [7] F. Reichert, J.J Gonzalez, and P. Freton, Modelling and simulation of radiative energy transfer in high-voltage circuit breakers, J. Phys. D: Appl. Phys., vol. 45, [8] M. F. Modest, Radiative Heat Transfer, 2 nd ed. San Diego: Academic Press, 2003, ch.13, sec. 13.7, pp [9] E. C. Beder, C. D. Bass, and W. L. Shackleford, Transmissivity and Absorption of Fused Quartz Between 0.22 and 3.5 micrometer from Room Temperature to 1500 degree C, Appl. Optics, vol. 10, no. 10, pp , Oct [10] R.K. Endo, Y. Fujihara, M. Susa, Calculation of density and heat capacity of silicon by molecular dynamics simulation,, High Temperatures - High Pressures, 35/36(5), pp , [11] T. Sato, Spectral Emissivity of Silicon, Japanese Journal of Applied Physics, vol. 6, pp , [12] P.J. Timans, Emissivity of silicon at elevated temperatures, Journal of Applied Physics, vol. 74, pp , [13] A. A. El-Deib, Modeling of and Driver Design for a Dielectric Barrier Discharge Lamp, Ph.D. thesis, Dept. Elect. And Comp. Eng., Univ. of Toronto, Toronto, ON, 2010 [14] M. F. Modest, Radiative Heat Transfer, 2 nd ed. San Diego: Academic Press, 2003, ch.9, sec. 9.6, pp [15] M. S. Benilov, and M. D. Cunha, Heating of refractory cathodes by high-pressure arc plasmas: 2, J. Phys. D: Appl. Phys., vol. 36, no. 6, pp , Feb, [16] M. F. Modest, Radiative Heat Transfer, 2 nd ed. San Diego: Academic Press, 2003, ch.9, sec , pp

107 Appendix A: Ultra-fast Radiometer The UFR (Ultra-Fast Radiometer) is a type of temperature measurement device that measures the irradiance emitted from the body and then uses that to calculate the actual temperature of the body. With the need to control the flash anneal process (1msec), higher sampling rates are needed. This is the reason for it being called ultra-fast. This device provides measurements at 100 khz. Emittance [W] InGaAs photo diode InGaAs diode output [ma] Low Temperature Amplifier High Temperature Amplifier 0..5V 0.5V Low Temperature A/D converter High Temperature A/D converter 18 bit 18 bit Interface to the UFR FPGA Figure A1: Figure showing the architecture of the UFR. The architecture of the UFR is shown on figure A1. Light that falls onto the photo diode is previously filtered (using band gap filters) to the narrow band around the wavelength 1450nm. 96

108 The reason for choosing this wavelength is because the water windows filter the radiation from the lamps at this wavelength and prevent it from entering the process chamber. This ensures that the radience seen by the UFR is purely from the wafer. For an irradience power of 1W, the photo diode will generate a current signal of 0.9A. This signal is then fed into the two amplifiers with different gains, one for low- and second for high- temperature measurements. Output from the both amplifiers is 0-5V. Voltage signal from the amplifiers is fed to two separate 18-bit SAR A/D converters. The UFR firmware controls the A/D converters that are producing 100K 18-bit samples per second. Depending of the temperature either the signal from the low temperature channel, combination of both or just high temperature channel is used for the temperature calculation. In this section the details of the following topics are discussed: Temperature calculation using the UFR data Wafer top side emissivity estimation Wafer bottom side emissivity measurement Temperature Calculation using the UFR data: The temperature of each side of the wafer is calculated from the spectral radiance, L (watts) measured by the UFR by using the Planck s Equation (the emissivity must be known): T hc k B 2 2hc ln 5 S 1 (, T) FilterAttenuation L (, ) T (A1) where: 97

109 T is in degrees Celsius h = E-34 J*s c = E8 m/s = 1450E-9 m kb = E-23 J/K = Narrowband filter bandpass = 25E-9 m. (,T) = Spectral Emissivity (could be derived from various sources) L(,T) = Spectral Radiance SFilterAttenuation = Attenuation coefficient due to extra filtering in a port of the chamber. For a transparent window, this term equals 1, but if a Neutral Density (ND) filter, for example, is placed in a port, this term should be set to the attenuation factor at 1450nm. Typically, a 10x ND filter is used in the top UFR port. High accuracy is not required, so nominal values (i.e. 10) quoted by the manufacturer are sufficient. Calculating (or Estimating) Emissivity of the Wafer Top side Emissivity is calculated by re-arranging Planck s Equation (shown in the previous section) to solve for emissivity. In this case the wafer surface temperature must be known. SFilterAttenuation L (, T) a1 (, T) exp 1 a (A2) 2 T where a1 and a2 are the following constants: hc a e3K k a B 2hc e6 5 W m 2 The estimation of wafer top side emissivity is done at the point where the wafer has reached the intermediate temperature (around 900C) and the top side of the wafer is about to undergo the 98

110 millisecond flash annealing. At this point the temperature of the bottom of the wafer is known. The top side temperature of the wafer can then be approximated to be the same as the bottom temperature. This estimated temperature will be used with the radiance from the top UFR to calculate the emissivity for the top of the wafer. This top emissivity (assuming that it does not change) will then be used for subsequent top temperature measurements during the flash annealing. Bottom side Emissivity measurement: Figure A2: Diagram showing the UFRs in the system and the diagnostic flash setup for the estimation of the bottom side emissivity The emissivity of the bottom side of the wafer is measured by firing a flash from an external source (emissometer) onto the wafer (see fig. A2). During the flash the top and bottom UFR will estimate the transmissivity and the reflectivity of the wafer. This will then be used to estimate the emissivity of the wafer using 1 r t (A3) Where r is the reflectivity and t is the transmissivity. r and t are measured simultaneously by coordinating 3 UFRs and the diagnostic flash. The diagnostic flash is a short-duration (~1msec) 99

111 light source that is brighter than the wafer s radiation. It is directed towards the center of the wafer from the bottom corner of the chamber opposite to the top UFR. If the wafer is partially transparent (t > 0), the top UFR sees a portion of the diagnostic flash. Similarly, the bottom UFR sees the reflection of the diagnostic flash off the bottom of the wafer. The signal from the top UFR is linearly related to the transmissivity of the wafer, whereas the signal from the bottom UFR is linearly related to the reflectivity of the bottom of the wafer. A reference radiometer will be located outside the chamber. A portion of the diagnostic flash will be delivered to the reference UFR (via a beam-splitter of bifurcated fiber bundle, for example). This will allow the reference UFR to provide a measure of the absolute intensity of a given flash to correct for shotto-shot variations. 100

112 Appendix B: Derivation of the total absorbed heat flux from incident intensity Figure B1: Geometry for derivation of the Radiative Heat Flux Absorbed Assume that the spectral intensity incident on a differential area das on the surface of dv is given by I λ (τ λ, Ω) from equation 2.5. The change of this incident intensity in dv as a result of absorption is: di λ = I λ (τ λ, Ω) κ λ (T) dl (B1) where κ λ (T) is the absorption coefficient of the volume element dv. Therefore the power absorbed by the differential subvolume das dl from this incident radiation is: df rλ_absorbed = di λ das dω = I λ (τ λ, Ω) κ λ (T) dl das dω (B2) Obtaining the total power absorbed by all of dv from this incident intensity, we get: df rλ_absorbed = I λ (τ λ, Ω) κ λ (T) dω das dl dv (B3) 101

113 Therefore, integrating the incident intensities over all solid angles we get: 4π F rλ_absorbed = κ λ (T) dv I λ (τ λ, Ω) dω (B4) 0 102

114 Appendix C: Derivation of the Net Emission Coefficient Derivation of the Net emission Coefficient, equation 2.15 [M. Bartlova, V. Aubrecht, O. Coufal, (2010)]: From equation 2.7: F rλ = 4π κ λ (T)(I bλ (T) J λ ) (C1) 4π where: J λ = 1 I 4π λ(τ λ, Ω) dω (C2) 0 is the mean radiation intensity of the incident radiation from all directions. For an isothermal cylinder of radius Rp, the average spectral intensity J λ is approximately the same as an isothermal sphere of radius Rp, and is given by (Liebermann et al. (1976)): J λ = I bλ (T)[1 e ( κ λ (T)R p ) ] (C3) Substituting J λ from equation C3 in C1, the net emission at the arc center of an isothermal arc of radius Rp is: F rλ = 4π κ λ (T) (I bλ (T) I bλ (T)[1 e ( κ λ (T)R p ) ]) (C4) F r = 4π I bλ (T) κ λ (T) e ( κ λ (T)R p ) 0 dλ = 4πε N (C5) ε N = I bλ (T) κ λ (T)e (κ λ(t)r p ) dλ 0 W [ sr. m 3] (C6) 103

115 Appendix D: Calculation of attenuation of incident intensity in the outer regions of the lamp Equation 2.18 which is repeated below is the governing equation describing the attenuation of incident intensity along a given path in a cold medium, which is not radiating itself: I λ (τ λ, Ω) = I λ (0, Ω) exp[ κ λ (T) dr] 0 S (D1) This expression can also be evaluated along a specific direction which in our case is in a radial direction. The symbol κ λ (T) represents the absorption coefficient as a function of wavelength and temperature where temperature is a function of position. S represents the total length over which the integration takes place which is from the isothermal core radius to the outer quartz tube radius. There are three cold regions outside the isothermal arc to consider: the cold argon, the waterwall, the quartz tube. The absorption coefficient of argon gas (98% argon & 2% water) as a function of wavelength is shown in figure D1 for various temperatures. 104

116 Figure D1: Absorption coefficients of argon vs wavelength for various temperatures The cold argon gas has a very high absorption coefficient in the 70-80nm range, as shown in Fig. D1. Since the precise amount of power emitted by the isothermal arc within the 70-80nm range is unknown (but is known for <200nm), an exact estimate of the transmissivity factor is not possible. However, as will be shown, the water-wall by itself completely attenuates the radiation with a wavelength less than 200nm, therefore, the exact estimate of the transmissivity by the cold argon gas is not required. In addition, the lamp tube made of quartz is transparent to radiation in the infrared and visible range but is not very transparent to wavelengths less than 200nm and greater than 3000nm [9]. This pattern of spectral absorption is similar to the absorption pattern of water, therefore, spectral absorption of radiation overlaps in all three regions i.e. all three regions absorb the same wavelengths of radiation. Hence to a first approximation, we only need to consider the water-wall and can ignore the cold argon and quartz tube, since water has the 105

117 highest absorption coefficients and also has a much greater thickness in the lamp in comparison to the other two layers. The absorption coefficient of water at room temperature is shown in figure D2 as a function of wavelength. Figure D2: Absorption coefficient of water at room temperature The water-wall temperature was assumed fixed at 25C and the thickness of the water-wall was assumed to be 5mm. Therefore S in equation D1 was taken to be 5mm. The total transmissivity factor for water corresponding to the contribution of all spectral bands is given by: P OUT N = P λi_out(τ λ ) N = P λi_in(0) P B i=1 P B i=1 P B S exp[ κ λi (T(s)) ds ] (D2) 0 106

118 The transmissivity factor for a particular band i is given by: S exp [ κ λi (T(s))ds] 0 (D3) where κ λi (T(s)) represents a form of mean absorption coefficient as a function of radius for a particular spectral band i. This expression is only an estimate of the maximum amount of transmission expected. Therefore, the largest value expected of the individual transmissivity factors (eq. D3) for the 8 bands is: REST (<200nm) = 0% UVC ( nm) = 100% UVB ( nm) = 100% UVA ( nm) = 100% VIS2 ( nm) =100% IRA ( nm) = 95% IRB ( nm) = 0% IRC (>3000nm) = 0% Therefore, the incoming radiation with wavelengths below 200nm and above 1400nm, is completely attenuated inside the lamp while the other bands may have partial transmission which cannot be predicted using equation D2. The total transmissivity factor P OUT P B can then be estimated using the above individual transmissivity factors and the temperature dependent data for P λi_in(0) from figure This yields a total transmissivity factor varying between 80-70% for an isothermal arc temperature range of 10, K. P B 107

119 Temperature dependency of the Arc s spectral power output: As mentioned earlier, the experimental radiative efficiency of the lamp is almost independent of the lamp input power but the estimated full lamp model predicts an increase in radiative efficiency with input power, as shown in figure One possible theory explaining this difference between the model results and experimental data is the temperature dependency of the arc radiation spectrum as shown in figure During the simulations of the estimated full lamp model it was seen that the arc temperature increases for an increase in current i.e. the arc temperature is a function of the arc current and hence the input power as per fig This increase in arc temperature causes the radiation spectrum of the arc to change, shifting the output radiation more towards the shorter wavelengths. Figure 2.18 shows that a change in arc temperature from 10,000K to 13,000K causes the percentage of output radiation in the infrared and visible bands to reduce, along with a simultaneous percentage increase in the power in the shorter wavelengths (<200nm). This has a significant impact on the profile of the lamp s radiative efficiency since the cold gas, water and quartz tube absorb radiation having wavelengths less than 200nm. This self-absorption of shorter wavelengths by the lamp and the fact that the lamp radiation shifts towards shorter wavelengths at higher temperatures could explain why the radiative efficiency of the lamp as seen in the experimental data does not increase much with an increase in input current/power. 108

120 Appendix E: Details of the Blocks used in the Temperature Controller Wafer Model: Figure E1: the wafer model block showing the inputs and outputs Discretized equation used in the Block: i lampicm [k + 1] = Equation Parameters: a1 β(t[k]) + 2ε(T[k])σT[k] 4 ( T[k] Tc[k]) + κ N l gap v lamp [k] η lamp [k]η opt α ( 1 S ) w (E1) a1 : Requested temperature ramp rate of wafer T[k] : measured or estimated wafer temperature as follows: If T[k] < 300C, then the change in T[k] is calculated by equation: T[k] T C [k] dt[k] = [ Κ N l gap th Si C p (T[k])ρ(T[k]) 2ε Si (T[k])σT 4 th Si C p (T[k])ρ(T[k]) + 2 η lamp [k]v lamp [k]i lamp [k]α η opt S w th Si C p (T[k])ρ(T[k]) ] t (E2a) T[k + 1] = T[k] + dt[k] If T[k] > 300C, then T[k] = T wafer [k] (i. e. measured by sensor) (E2b) (E2c) β(t[k]) = thsi ρsi(t[k]) CpSi(T[k]) = thsi (1.95* * 10 2 T 2.66 * 10 2 T * 10 7 T 3 ) (E3) ε(t[k]) = { T[k] 0.3 for 280C < T[k] < 660C 0.7 for T[k] > 660C (E4) α : wafer absorptivity coefficient =

121 σ = W m 2 K 4 is the Stefan Boltzmann constant κ N = 0.03 W/(m K) Tc[k]: temperature of the quartz substrate calculated by the following equations: T[k] T C [k] dt C [k] = [κ N l gap th C C pc (T C [k])ρ C (T C [k]) + α C ε Si (T[k])σT 4 th C C pc (T C [k])ρ C (T C [k]) 2 ε C (T[k])σT C [k] 4 th C C pc (T C [k])ρ C (T C [k]) ] t (E5a) T C [k + 1] = T C [k] + dt C [k] (E5b) l gap : The space between the wafer and the quartz substrate = 1.4mm v lamp [k], η lamp [k] : Voltage and Radiative efficiency of the lamp S w = 3.14 * 0.15 * 0.15 m^2 thsi : wafer thickness = 0.775mm η opt : optical efficiency of the process chamber = 0.23 LAMP MODEL: Figure E2: The lamp model block showing the inputs and outputs Discretized equation(s) used in the Block: E[k] = i lamp[k] Garc[k] = i lamp [k] 2π 5 0(differential Area(n) σ arc (n,t arc )[k]) (E6) for n=1 to 5: 110

122 T arc (n)[k] = σ arc(t arc (n))[k] E[k] 2 4πε N (T arc (n))[k] + ((T arc (n)[k] T arc (n 1)[k])/κ(T arc (n))[k]) Cp arc (T arc (n))[k] ρ arc (T arc (n))[k] t (E7) n++; end Total lamp voltage, v lamp [k] = [Length_arc E[k]] + Voltage drop across the two electrode interfacial boundaries and two constriction regions (50V). (E8) Positive Column Resistance = [Length_arc E[k]] / i lamp [k] (E9) Total Power Radiated (from the isothermal core)= v lamp [k] i lamp [k] η lamp [k] (E10) Total Input Power = v lamp [k] i lamp [k] (E11) Equation Parameters: η lamp [k] lookup table : Table E1: Radiative efficiency of the lamp at a given input power Lamp input power in Watts Radiative efficiency ( η lamp ) 0 0 6, , , , , , , T arc (n)[k] : Temperature of the n th differential region of the arc differential_area(n) : area of the n th differential region ε N (T arc (n))[k], Cp arc (n, T arc (n))[k], ρ arc (n, T arc (n))[k] : are the Net Emission Coefficient, specific heat capacity and density characteristics of the argon and water mixture, which are implemented in lookup tables shown below. κ(n, T arc (n))[k] : is the thermal conductivity parameter of the argon and water mixture. This parameter is also stored as a lookup table shown below. 111

123 σ arc (n, T arc (n))[k] : is the electrical conductivity parameter of the argon and water mixture. This parameter is also stored in a lookup table shown below. E[k] : Electric field across the positive column Length_arc = m t = is the discrete time step size (=0.1msec) The following figures E3-E7 are the arc transport coefficients: specific heat capacity, net emission coefficient, thermal conductivity, electrical conductivity and density respectively, shown as a function of arc temperature for a plasma composition of 98% Argon and 2% Water at 5 bar pressure: Figure E3: Specific Heat Capacity (Cp) of the plasma versus temperature Figure E4: Net Emission Coefficient (ε N ) for Rp = 5mm of the plasma versus temperature 112

124 Figure E5: Thermal Conductivity (κ) of the plasma versus temperature Figure E6: Electrical Conductivity (σ arc )of the plasma versus temperature Figure E7: Density (ρ arc )of the plasma versus temperature 113

125 Feedback Controller (for wafer temperature): Figure E8: The feedback controller with embedded reset option A proportional (P) controller (with enable), shown in fig. E8 is only enabled as a feedback controller when the temperature measurements are available. Initially when enabled, the requested wafer temperature is reset to the first measured temperature value. P controller equations: K p = 15 error[k] = T req_ wafer [k] T wafer [k] Pout[k] = K p error[k] (E12) (E13) (E14) Embedded code segment for temperature reset: reset_done = 0; error[k] = 0; %indicator that the reset is done or not % the difference between the measured and the requested temperature If (temperature_measured == good && reset_done == 0) % if measurements are available and reset has not already been done { error[k] = Twafer[k] Treq_wafer[k]; % calculate the difference reset_done = 1; %set the indicator so the reset is not done again } Treq_wafer[k] = Treq_wafer[k] + error[k]; %add the difference to the original requested temperature 114

126 Current Controller and N-phase Chopper: Figure E9: The inner current control loop The discretized equation used for the inner loop shown in fig. E9 is derived below: Discretizing: τ di lamp dt = i req i lamp (E16) Rearranging: τ i lamp[k] i lamp [k 1] t = i req [k] i lamp [k] (E17) i lamp [k] = i req [k] ( t τ + t ) + i τ lamp[k 1] ( τ + t ) Where t is the discrete time step size (=0.125msec) Current Conditioning: (E18) Figure E10: The current conditioning block 115

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6

Lecture 6. Rapid Thermal Processing. Reading: Chapter 6 Lecture 6 Rapid Thermal Processing Reading: Chapter 6 (Chapter 6) Categories: Rapid Thermal Anneal (RTA) Rapid Thermal Oxidation (RTO) Rapid Thermal Nitridation (RTN) (and oxynitrides) Rapid Thermal Diffusion

More information

Lecture 15: Optoelectronic devices: Introduction

Lecture 15: Optoelectronic devices: Introduction Lecture 15: Optoelectronic devices: Introduction Contents 1 Optical absorption 1 1.1 Absorption coefficient....................... 2 2 Optical recombination 5 3 Recombination and carrier lifetime 6 3.1

More information

Chapiter VII: Ionization chamber

Chapiter VII: Ionization chamber Chapiter VII: Ionization chamber 1 Types of ionization chambers Sensitive volume: gas (most often air direct measurement of exposure) ionization chamber Sensitive volume: semiconductor (silicon, germanium,

More information

2 Fundamentals of Flash Lamp Annealing of Shallow Boron-Doped Silicon

2 Fundamentals of Flash Lamp Annealing of Shallow Boron-Doped Silicon 2 Fundamentals of Flash Lamp Annealing of Shallow Boron-Doped Silicon MSA of semiconductors is usually performed using flash lamps. It has been shown that FLA holds the balance between effective dopant

More information

Absorptivity, Reflectivity, and Transmissivity

Absorptivity, Reflectivity, and Transmissivity cen54261_ch21.qxd 1/25/4 11:32 AM Page 97 97 where f l1 and f l2 are blackbody functions corresponding to l 1 T and l 2 T. These functions are determined from Table 21 2 to be l 1 T (3 mm)(8 K) 24 mm K

More information

Heat Transfer: Physical Origins and Rate Equations. Chapter One Sections 1.1 and 1.2

Heat Transfer: Physical Origins and Rate Equations. Chapter One Sections 1.1 and 1.2 Heat Transfer: Physical Origins and Rate Equations Chapter One Sections 1.1 and 1. Heat Transfer and Thermal Energy What is heat transfer? Heat transfer is thermal energy in transit due to a temperature

More information

The energy performance of an airflow window

The energy performance of an airflow window The energy performance of an airflow window B.(Bram) Kersten / id.nr. 0667606 University of Technology Eindhoven, department of Architecture Building and Planning, unit Building Physics and Systems. 10-08-2011

More information

BETTER DESIGN AND NEW TECHNOLOGIES IMPROVE LASER POWER MEASUREMENT INSTRUMENTATION

BETTER DESIGN AND NEW TECHNOLOGIES IMPROVE LASER POWER MEASUREMENT INSTRUMENTATION BETTER DESIGN AND NEW TECHNOLOGIES IMPROVE LASER POWER MEASUREMENT INSTRUMENTATION Luigi Argenti, Andrea Brinciotti, Flavio Ferretti - Laserpoint s.r.l.- Vimodrone Italy New challenges from High Brightness

More information

Modeling Internal Heating of Optoelectronic Devices Using COMSOL

Modeling Internal Heating of Optoelectronic Devices Using COMSOL Modeling Internal Heating of Optoelectronic Devices Using COMSOL Nathan Brunner 1,2 1 Voxtel, Inc. Beaverton, OR*; 2 Department of Physics, University of Oregon, Eugene, OR *nathanb@voxtel-inc.com, 15985

More information

Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy. Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy

Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy. Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy Topic 2b: X-ray Fluorescence Spectrometry Text: Chapter 12 Rouessac (1 week) 4.0 X-ray Fluorescence Download, read and understand EPA method 6010C ICP-OES Winter 2009 Page 1 Atomic X-ray Spectrometry Fundamental

More information

Chamber Development Plan and Chamber Simulation Experiments

Chamber Development Plan and Chamber Simulation Experiments Chamber Development Plan and Chamber Simulation Experiments Farrokh Najmabadi HAPL Meeting November 12-13, 2001 Livermore, CA Electronic copy: http://aries.ucsd.edu/najmabadi/talks UCSD IFE Web Site: http://aries.ucsd.edu/ife

More information

Introduction to Heat and Mass Transfer. Week 5

Introduction to Heat and Mass Transfer. Week 5 Introduction to Heat and Mass Transfer Week 5 Critical Resistance Thermal resistances due to conduction and convection in radial systems behave differently Depending on application, we want to either maximize

More information

Chapter 24 Photonics Question 1 Question 2 Question 3 Question 4 Question 5

Chapter 24 Photonics Question 1 Question 2 Question 3 Question 4 Question 5 Chapter 24 Photonics Data throughout this chapter: e = 1.6 10 19 C; h = 6.63 10 34 Js (or 4.14 10 15 ev s); m e = 9.1 10 31 kg; c = 3.0 10 8 m s 1 Question 1 Visible light has a range of photons with wavelengths

More information

PH2200 Practice Final Exam Summer 2003

PH2200 Practice Final Exam Summer 2003 INSTRUCTIONS 1. Write your name and student identification number on the answer sheet. 2. Please cover your answer sheet at all times. 3. This is a closed book exam. You may use the PH2200 formula sheet

More information

ECE309 INTRODUCTION TO THERMODYNAMICS & HEAT TRANSFER. 10 August 2005

ECE309 INTRODUCTION TO THERMODYNAMICS & HEAT TRANSFER. 10 August 2005 ECE309 INTRODUCTION TO THERMODYNAMICS & HEAT TRANSFER 0 August 2005 Final Examination R. Culham & M. Bahrami This is a 2 - /2 hour, closed-book examination. You are permitted to use one 8.5 in. in. crib

More information

Numerical Simulation of Fluid Flow and Heat Transfer in a Plasma Cutting Torch

Numerical Simulation of Fluid Flow and Heat Transfer in a Plasma Cutting Torch Numerical Simulation of Fluid Flow and Heat Transfer in a Plasma Cutting Torch ASAD A.SALEM College of Science & Technology Texas A&M University- Corpus Christi Corpus Christi, TX 78412-5797 USA Abstract:

More information

Differential Mobility Particle Sizer (Aerosol measurements)

Differential Mobility Particle Sizer (Aerosol measurements) Institute for Atmospheric and Climate Science - IACETH Atmospheric Physics Lab Work Differential Mobility Particle Sizer (Aerosol measurements) Abstract A differential mobility particle sizer (DMPS) is

More information

A Radiation Model of a Rapid Thermal Processing System

A Radiation Model of a Rapid Thermal Processing System Mathematics-in-Industry Case Studies Journal, Volume 3, pp. 1-18 (2011) A Radiation Model of a Rapid Thermal Processing System Abigail Wacher Brian R. Seymour Abstract. A model of the radiative heat transfer

More information

UNIVERSITY OF SASKATCHEWAN Department of Physics and Engineering Physics

UNIVERSITY OF SASKATCHEWAN Department of Physics and Engineering Physics UNIVERSITY OF SASKATCHEWAN Department of Physics and Engineering Physics Physics 115.3 Physics and the Universe FINAL EXAMINATION December 11, 2009 Time: 3 hours NAME: STUDENT NO.: (Last) Please Print

More information

Radiation Heat Transfer Prof. J. Srinivasan Centre for Atmospheric and Oceanic Sciences Indian Institute of Science, Bangalore

Radiation Heat Transfer Prof. J. Srinivasan Centre for Atmospheric and Oceanic Sciences Indian Institute of Science, Bangalore Radiation Heat Transfer Prof. J. Srinivasan Centre for Atmospheric and Oceanic Sciences Indian Institute of Science, Bangalore Lecture - 10 Applications In the last lecture, we looked at radiative transfer

More information

Thermal Sensors and Actuators

Thermal Sensors and Actuators Thermal Sensors and Actuators Part I Fundamentals of heat transfer Heat transfer occurs where there is a temperature gradient until an equilibrium is reached. Four major mechanism Thermal conduction Natural

More information

ELEC9712 High Voltage Systems. 1.2 Heat transfer from electrical equipment

ELEC9712 High Voltage Systems. 1.2 Heat transfer from electrical equipment ELEC9712 High Voltage Systems 1.2 Heat transfer from electrical equipment The basic equation governing heat transfer in an item of electrical equipment is the following incremental balance equation, with

More information

Introduction to Plasma

Introduction to Plasma What is a plasma? The fourth state of matter A partially ionized gas How is a plasma created? Energy must be added to a gas in the form of: Heat: Temperatures must be in excess of 4000 O C Radiation Electric

More information

Chapter 1 INTRODUCTION AND BASIC CONCEPTS

Chapter 1 INTRODUCTION AND BASIC CONCEPTS Heat and Mass Transfer: Fundamentals & Applications 5th Edition in SI Units Yunus A. Çengel, Afshin J. Ghajar McGraw-Hill, 2015 Chapter 1 INTRODUCTION AND BASIC CONCEPTS Mehmet Kanoglu University of Gaziantep

More information

PROBLEM 1.2 ( ) 25 C 15 C dx L 0.30 m Ambient air temperature, T2 (C)

PROBLEM 1.2 ( ) 25 C 15 C dx L 0.30 m Ambient air temperature, T2 (C) PROBLEM 1.2 KNOWN: Inner surface temperature and thermal conductivity of a concrete wall. FIND: Heat loss by conduction through the wall as a function of ambient air temperatures ranging from -15 to 38

More information

3.0 FINITE ELEMENT MODEL

3.0 FINITE ELEMENT MODEL 3.0 FINITE ELEMENT MODEL In Chapter 2, the development of the analytical model established the need to quantify the effect of the thermal exchange with the dome in terms of a single parameter, T d. In

More information

PROBLEM 1.3. dt T1 T dx L 0.30 m

PROBLEM 1.3. dt T1 T dx L 0.30 m PROBLEM 1.3 KNOWN: Inner surface temperature and thermal conductivity of a concrete wall. FIND: Heat loss by conduction through the wall as a function of outer surface temperatures ranging from -15 to

More information

Unit-2 LASER. Syllabus: Properties of lasers, types of lasers, derivation of Einstein A & B Coefficients, Working He-Ne and Ruby lasers.

Unit-2 LASER. Syllabus: Properties of lasers, types of lasers, derivation of Einstein A & B Coefficients, Working He-Ne and Ruby lasers. Unit-2 LASER Syllabus: Properties of lasers, types of lasers, derivation of Einstein A & B Coefficients, Working He-Ne and Ruby lasers. Page 1 LASER: The word LASER is acronym for light amplification by

More information

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD Chapter 4 DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD 4.1 INTRODUCTION Sputter deposition process is another old technique being used in modern semiconductor industries. Sputtering

More information

EXPERIMENT NO. 4. Thermal Radiation: the Stefan-Boltzmann Law

EXPERIMENT NO. 4. Thermal Radiation: the Stefan-Boltzmann Law 1 EXPERIMENT NO. 4 Thermal Radiation: the Stefan-Boltzmann Law References: Physics for Scientists and Engineers, Serway and Jewett. Sections 40.1 An Introduction to Thermal Physics, Schroeder, Section

More information

3.1 Introduction to Semiconductors. Y. Baghzouz ECE Department UNLV

3.1 Introduction to Semiconductors. Y. Baghzouz ECE Department UNLV 3.1 Introduction to Semiconductors Y. Baghzouz ECE Department UNLV Introduction In this lecture, we will cover the basic aspects of semiconductor materials, and the physical mechanisms which are at the

More information

Characterization of high temperature solar thermal selective absorber coatings at operation temperature

Characterization of high temperature solar thermal selective absorber coatings at operation temperature Available online at www.sciencedirect.com Energy Procedia 00 (2013) 000 000 www.elsevier.com/locate/procedia SolarPACES 2013 Characterization of high temperature solar thermal selective absorber coatings

More information

Effects of Massive Stars

Effects of Massive Stars Effects of Massive Stars Classical HII Regions Ultracompact HII Regions Stahler Palla: Sections 15.1, 15. HII Regions The salient characteristic of any massive star is its extreme energy output, much of

More information

Diffusion during Plasma Formation

Diffusion during Plasma Formation Chapter 6 Diffusion during Plasma Formation Interesting processes occur in the plasma formation stage of the Basil discharge. This early stage has particular interest because the highest plasma densities

More information

Homework Week 3: Nanoscale and macroscale characterization Thermoelectricity: From Atoms to Systems

Homework Week 3: Nanoscale and macroscale characterization Thermoelectricity: From Atoms to Systems Homework Week 3: Nanoscale and macroscale characterization Thermoelectricity: From Atoms to Systems Je-Hyeong Bahk and Ali Shakouri nanohub-u Fall 2013 Answer the thirteen questions including all the sub-questions

More information

Energy transport: convection

Energy transport: convection Outline Introduction: Modern astronomy and the power of quantitative spectroscopy Basic assumptions for classic stellar atmospheres: geometry, hydrostatic equilibrium, conservation of momentum-mass-energy,

More information

LASERS. Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam

LASERS. Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam LASERS Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam General Objective To understand the principle, characteristics and types

More information

Indo-German Winter Academy

Indo-German Winter Academy Indo-German Winter Academy - 2007 Radiation in Non-Participating and Participating Media Tutor Prof. S. C. Mishra Technology Guwahati Chemical Engineering Technology Guwahati 1 Outline Importance of thermal

More information

Simultaneous Conduction and Radiation Energy Transfer

Simultaneous Conduction and Radiation Energy Transfer Simultaneous Conduction and Radiation Energy Transfer Radiant energy can transfer from a colder to a warmer radiator. ###########, PhD Chemical Process Control Systems Engineer, PE TX & CA Abstract The

More information

EE 6313 Homework Assignments

EE 6313 Homework Assignments EE 6313 Homework Assignments 1. Homework I: Chapter 1: 1.2, 1.5, 1.7, 1.10, 1.12 [Lattice constant only] (Due Sept. 1, 2009). 2. Homework II: Chapter 1, 2: 1.17, 2.1 (a, c) (k = π/a at zone edge), 2.3

More information

One dimensional hybrid Maxwell-Boltzmann model of shearth evolution

One dimensional hybrid Maxwell-Boltzmann model of shearth evolution Technical collection One dimensional hybrid Maxwell-Boltzmann model of shearth evolution 27 - Conferences publications P. Sarrailh L. Garrigues G. J. M. Hagelaar J. P. Boeuf G. Sandolache S. Rowe B. Jusselin

More information

k T m 8 B P m k T M T

k T m 8 B P m k T M T I. INTRODUCTION AND OBJECTIVE OF THE EXPERIENT The techniques for evaporation of chemicals in a vacuum are widely used for thin film deposition on rigid substrates, leading to multiple applications: production

More information

reported that the available simple contact conductance model was expressed as [5][6]: h sum = h solid + h fluid (1) Where h sum, h solid and h fluid a

reported that the available simple contact conductance model was expressed as [5][6]: h sum = h solid + h fluid (1) Where h sum, h solid and h fluid a Multiphysics Simulation of Conjugated Heat Transfer and Electric Field on Application of Electrostatic Chucks (ESCs) Using 3D-2D Model Coupling Kuo-Chan Hsu 1, Chih-Hung Li 1, Jaw-Yen Yang 1,2*, Jian-Zhang

More information

Quiz #1 Practice Problem Set

Quiz #1 Practice Problem Set Name: Student Number: ELEC 3908 Physical Electronics Quiz #1 Practice Problem Set? Minutes January 22, 2016 - No aids except a non-programmable calculator - All questions must be answered - All questions

More information

Thermal Systems. What and How? Physical Mechanisms and Rate Equations Conservation of Energy Requirement Control Volume Surface Energy Balance

Thermal Systems. What and How? Physical Mechanisms and Rate Equations Conservation of Energy Requirement Control Volume Surface Energy Balance Introduction to Heat Transfer What and How? Physical Mechanisms and Rate Equations Conservation of Energy Requirement Control Volume Surface Energy Balance Thermal Resistance Thermal Capacitance Thermal

More information

Appendix 1: List of symbols

Appendix 1: List of symbols Appendix 1: List of symbols Symbol Description MKS Units a Acceleration m/s 2 a 0 Bohr radius m A Area m 2 A* Richardson constant m/s A C Collector area m 2 A E Emitter area m 2 b Bimolecular recombination

More information

Heriot-Watt University

Heriot-Watt University Heriot-Watt University Distinctly Global www.hw.ac.uk Thermodynamics By Peter Cumber Prerequisites Interest in thermodynamics Some ability in calculus (multiple integrals) Good understanding of conduction

More information

UNIVERSITY OF SOUTHAMPTON

UNIVERSITY OF SOUTHAMPTON UNIVERSITY OF SOUTHAMPTON PHYS1013W1 SEMESTER 2 EXAMINATION 2014-2015 ENERGY AND MATTER Duration: 120 MINS (2 hours) This paper contains 8 questions. Answers to Section A and Section B must be in separate

More information

Modelling radiative heat transfer in thermal plasmas

Modelling radiative heat transfer in thermal plasmas Modelling radiative heat transfer in thermal plasmas Jean-Gaël Lacombe 1, Yves Delannoy 1, Christian Trassy 1 1 IPG-CRS, SIMAP-EPM, Rue de la piscine 38402 Saint Martin d Hères, France jean-gael.lacombe@hmg.inpg.fr

More information

Application of COMSOL Multiphysics in Transport Phenomena Educational Processes

Application of COMSOL Multiphysics in Transport Phenomena Educational Processes Application of COMSOL Multiphysics in Transport Phenomena Educational Processes M. Vasilev, P. Sharma and P. L. Mills * Department of Chemical and Natural Gas Engineering, Texas A&M University-Kingsville,

More information

QUESTION ANSWER. . e. Fourier number:

QUESTION ANSWER. . e. Fourier number: QUESTION 1. (0 pts) The Lumped Capacitance Method (a) List and describe the implications of the two major assumptions of the lumped capacitance method. (6 pts) (b) Define the Biot number by equations and

More information

International Journal of Scientific & Engineering Research, Volume 8, Issue 2, February-2017 ISSN

International Journal of Scientific & Engineering Research, Volume 8, Issue 2, February-2017 ISSN ISSN 2229-5518 916 Laser Damage Effect Studies with Hollow Metallic Targets Satyender Kumar, S Jain, K C Sati, S Goyal, R Malhotra, R Rajan, N R Das & A K Srivastava Laser Science & Technology Centre Metcalfe

More information

Carbonized Electrospun Nanofiber Sheets for Thermophones

Carbonized Electrospun Nanofiber Sheets for Thermophones Supporting Information Carbonized Electrospun Nanofiber Sheets for Thermophones Ali E. Aliev 1 *, Sahila Perananthan 2, John P. Ferraris 1,2 1 A. G. MacDiarmid NanoTech Institute, University of Texas at

More information

AC vs. DC Circuits. Constant voltage circuits. The voltage from an outlet is alternating voltage

AC vs. DC Circuits. Constant voltage circuits. The voltage from an outlet is alternating voltage Circuits AC vs. DC Circuits Constant voltage circuits Typically referred to as direct current or DC Computers, logic circuits, and battery operated devices are examples of DC circuits The voltage from

More information

= (fundamental constants c 0, h, k ). (1) k

= (fundamental constants c 0, h, k ). (1) k Introductory Physics Laboratory, Faculty of Physics and Geosciences, University of Leipzig W 12e Radiation Thermometers Tasks 1 Measure the black temperature T s of a glowing resistance wire at eight different

More information

Documentation of the Solutions to the SFPE Heat Transfer Verification Cases

Documentation of the Solutions to the SFPE Heat Transfer Verification Cases Documentation of the Solutions to the SFPE Heat Transfer Verification Cases Prepared by a Task Group of the SFPE Standards Making Committee on Predicting the Thermal Performance of Fire Resistive Assemblies

More information

FIBER OPTICS. Prof. R.K. Shevgaonkar. Department of Electrical Engineering. Indian Institute of Technology, Bombay. Lecture: 15. Optical Sources-LASER

FIBER OPTICS. Prof. R.K. Shevgaonkar. Department of Electrical Engineering. Indian Institute of Technology, Bombay. Lecture: 15. Optical Sources-LASER FIBER OPTICS Prof. R.K. Shevgaonkar Department of Electrical Engineering Indian Institute of Technology, Bombay Lecture: 15 Optical Sources-LASER Fiber Optics, Prof. R.K. Shevgaonkar, Dept. of Electrical

More information

Chapter 29 Molecular and Solid-State Physics

Chapter 29 Molecular and Solid-State Physics Chapter 29 Molecular and Solid-State Physics GOALS When you have mastered the content of this chapter, you will be able to achieve the following goals: Definitions Define each of the following terms, and

More information

Heat and Mass Transfer Unit-1 Conduction

Heat and Mass Transfer Unit-1 Conduction 1. State Fourier s Law of conduction. Heat and Mass Transfer Unit-1 Conduction Part-A The rate of heat conduction is proportional to the area measured normal to the direction of heat flow and to the temperature

More information

Design and numerical simulation of thermionic electron gun

Design and numerical simulation of thermionic electron gun Design and numerical simulation of thermionic electron gun M.Hoseinzade 1;1), A.Sadighzadeh 1) Plasma Physics and Nuclear Fusion Research School, Nuclear Science and Technology Research Institute, AEOI,

More information

Simple examples of MHD equilibria

Simple examples of MHD equilibria Department of Physics Seminar. grade: Nuclear engineering Simple examples of MHD equilibria Author: Ingrid Vavtar Mentor: prof. ddr. Tomaž Gyergyek Ljubljana, 017 Summary: In this seminar paper I will

More information

Diamond and Other Carbon Materials for Solar-Thermionic Energy Conversion

Diamond and Other Carbon Materials for Solar-Thermionic Energy Conversion Diamond and Other Carbon Materials for Solar-Thermionic Energy Conversion Timothy Fisher tsfisher@purdue.edu School of Mechanical Engineering, and Birck Nanotechnology Center Purdue University October

More information

Thermal Radiation: The Stefan-Boltzmann Law

Thermal Radiation: The Stefan-Boltzmann Law Thermal Radiation: The Stefan-Boltzmann Law Andy Chmilenko, 20310799 Instructor: Tan Dinh Section 1 (Dated: 2:30 pm Wednesday June 26, 2013) I. PURPOSE The purpose of this experiment is to verify the Stefan-

More information

Plasma shielding during ITER disruptions

Plasma shielding during ITER disruptions Plasma shielding during ITER disruptions Sergey Pestchanyi and Richard Pitts 1 Integrated tokamak code TOKES is a workshop with various tools objects Radiation bremsstrahlung recombination s line s cyclotron

More information

12/21/2014 7:39 PM. Chapter 2. Energy and the 1st Law of Thermodynamics. Dr. Mohammad Suliman Abuhaiba, PE

12/21/2014 7:39 PM. Chapter 2. Energy and the 1st Law of Thermodynamics. Dr. Mohammad Suliman Abuhaiba, PE Chapter 2 Energy and the 1st Law of Thermodynamics 1 2 Homework Assignment # 2 Problems: 1, 7, 14, 20, 30, 36, 42, 49, 56 Design and open end problem: 2.1D Due Monday 22/12/2014 3 Work and Kinetic Energy

More information

Chapter 1: 20, 23, 35, 41, 68, 71, 76, 77, 80, 85, 90, 101, 103 and 104.

Chapter 1: 20, 23, 35, 41, 68, 71, 76, 77, 80, 85, 90, 101, 103 and 104. Chapter 1: 0, 3, 35, 1, 68, 71, 76, 77, 80, 85, 90, 101, 103 and 10. 1-0 The filament of a 150 W incandescent lamp is 5 cm long and has a diameter of 0.5 mm. The heat flux on the surface of the filament,

More information

Energy flows and modelling approaches

Energy flows and modelling approaches Energy flows and modelling approaches Energy flows in buildings external convection infiltration & ventilation diffuse solar external long-wave radiation to sky and ground local generation fabric heat

More information

Photoionization Modelling of H II Region for Oxygen Ions

Photoionization Modelling of H II Region for Oxygen Ions Journal of Materials Science and Chemical Engineering, 2015, 3, 7-16 Published Online April 2015 in SciRes. http://www.scirp.org/journal/msce http://dx.doi.org/10.4236/msce.2015.34002 Photoionization Modelling

More information

Examination Heat Transfer

Examination Heat Transfer Examination Heat Transfer code: 4B680 date: 17 january 2006 time: 14.00-17.00 hours NOTE: There are 4 questions in total. The first one consists of independent sub-questions. If necessary, guide numbers

More information

TARGET INJECTION INTO A GAS-FILLED CHAMBER

TARGET INJECTION INTO A GAS-FILLED CHAMBER TARGET INJECTION INTO A GAS-FILLED CHAMBER Neil Alexander, Dan Goodin, Abbas Nikroo, Ron Petzoldt, Rich Stephens - (GA) Mike Cherry, Alison Newkirk, Mark Tillack - (UCSD) Presented at ARIES Project Meeting

More information

Numerical Modelling of a Free-Burning Arc in Argon. A Tool for Understanding the Optical Mirage Effect in a TIG Welding Device

Numerical Modelling of a Free-Burning Arc in Argon. A Tool for Understanding the Optical Mirage Effect in a TIG Welding Device Presented at the COMSOL Conference 2009 Milan Numerical Modelling of a Free-Burning Arc in Argon A Tool for Understanding the Optical Mirage Effect in a TIG Welding Device J.M. Bauchire, E. Langlois-Bertrand,

More information

UNIVERSITY OF SASKATCHEWAN Department of Physics and Engineering Physics

UNIVERSITY OF SASKATCHEWAN Department of Physics and Engineering Physics UNIVERSITY OF SASKATCHEWAN Department of Physics and Engineering Physics Physics 115.3 Physics and the Universe FINAL EXAMINATION December 9, 011 NAME: (Last) Please Print (Given) Time: 3 hours STUDENT

More information

Assignment 4 Solutions [Revision : 1.4]

Assignment 4 Solutions [Revision : 1.4] Assignment 4 Solutions [Revision : 1.4] Q9.7 We typically see a optical distance τ 2/3 through an opaque medium. Using τ = κρs, for constant κ = 0.03 m 2 kg 1 and ρ = 1.2 kgm 3, gives a physical distance

More information

Imploded Shell Parameter Estimation Based on Radiograph Analysis. George Liu. Pittsford Sutherland High School. LLE Advisor: Reuben Epstein

Imploded Shell Parameter Estimation Based on Radiograph Analysis. George Liu. Pittsford Sutherland High School. LLE Advisor: Reuben Epstein Imploded Shell Parameter Estimation Based on Radiograph Analysis George Liu Pittsford Sutherland High School LLE Advisor: Reuben Epstein Laboratory for Laser Energetics University of Rochester Summer High

More information

Thermal Coatings for In-vacuum Radiation Cooling LIGO-T C R. Abbott, S. Waldman, Caltech 12 March, 2007

Thermal Coatings for In-vacuum Radiation Cooling LIGO-T C R. Abbott, S. Waldman, Caltech 12 March, 2007 Thermal Coatings for In-vacuum Radiation Cooling LIGO-T070054-00-C R. Abbott, S. Waldman, Caltech 12 March, 2007 1. Overview and Background 1.1. There are instances in LIGO where the use of electronics

More information

Problem set: solar irradiance and solar wind

Problem set: solar irradiance and solar wind Problem set: solar irradiance and solar wind Karel Schrijver July 3, 203 Stratification of a static atmosphere within a force-free magnetic field Problem: Write down the general MHD force-balance equation

More information

Lecture 6: High Voltage Gas Switches

Lecture 6: High Voltage Gas Switches Lecture 6: High Voltage Gas Switches Switching is a central problem in high voltage pulse generation. We need fast switches to generate pulses, but in our case, they must also hold off high voltages before

More information

Solar Flat Plate Thermal Collector

Solar Flat Plate Thermal Collector Solar Flat Plate Thermal Collector INTRODUCTION: Solar heater is one of the simplest and basic technologies in the solar energy field. Collector is the heart of any solar heating system. It absorbs and

More information

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION

THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION Journal of Optoelectronics and Advanced Materials Vol. 7, No. 2, April 2005, p. 665-670 THERMAL PROFILE EVALUATION OF A SILICON WAFER IN THE APPARATUS FOR RAPID THERMAL CHEMICAL VAPOUR DEPOSITION M. Girtan,

More information

Fall 2014 Nobby Kobayashi (Based on the notes by E.D.H Green and E.L Allen, SJSU) 1.0 Learning Objectives

Fall 2014 Nobby Kobayashi (Based on the notes by E.D.H Green and E.L Allen, SJSU) 1.0 Learning Objectives University of California at Santa Cruz Electrical Engineering Department EE-145L: Properties of Materials Laboratory Lab 7: Optical Absorption, Photoluminescence Fall 2014 Nobby Kobayashi (Based on the

More information

Measuring Laser Diode Optical Power with an Integrating Sphere

Measuring Laser Diode Optical Power with an Integrating Sphere Measuring Laser Diode Optical Power with an Integrating Sphere Introduction Characterizing radiant sources like laser diodes accurately depends on the ability to measure their optical power output accurately.

More information

Thermionic Emission. A. Goode Student and A. Finicky Professor. Abstract. φ 2 kt

Thermionic Emission. A. Goode Student and A. Finicky Professor. Abstract. φ 2 kt Thermionic Emission A. Goode Student and A. Finicky Professor Abstract Thermionic emission of electrons by a hot filament is observed in a space charge limited regime and temperature limited regime. From

More information

Progress Report on Chamber Dynamics and Clearing

Progress Report on Chamber Dynamics and Clearing Progress Report on Chamber Dynamics and Clearing Farrokh Najmabadi, Rene Raffray, Mark S. Tillack, John Pulsifer, Zoran Dragovlovic (UCSD) Ahmed Hassanein (ANL) Laser-IFE Program Workshop May31-June 1,

More information

CHAPTER 7 NUMERICAL MODELLING OF A SPIRAL HEAT EXCHANGER USING CFD TECHNIQUE

CHAPTER 7 NUMERICAL MODELLING OF A SPIRAL HEAT EXCHANGER USING CFD TECHNIQUE CHAPTER 7 NUMERICAL MODELLING OF A SPIRAL HEAT EXCHANGER USING CFD TECHNIQUE In this chapter, the governing equations for the proposed numerical model with discretisation methods are presented. Spiral

More information

2. Energy Balance. 1. All substances radiate unless their temperature is at absolute zero (0 K). Gases radiate at specific frequencies, while solids

2. Energy Balance. 1. All substances radiate unless their temperature is at absolute zero (0 K). Gases radiate at specific frequencies, while solids I. Radiation 2. Energy Balance 1. All substances radiate unless their temperature is at absolute zero (0 K). Gases radiate at specific frequencies, while solids radiate at many Click frequencies, to edit

More information

Principles of Convection

Principles of Convection Principles of Convection Point Conduction & convection are similar both require the presence of a material medium. But convection requires the presence of fluid motion. Heat transfer through the: Solid

More information

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008

Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Ultrafast X-Ray-Matter Interaction and Damage of Inorganic Solids October 10, 2008 Richard London rlondon@llnl.gov Workshop on Interaction of Free Electron Laser Radiation with Matter Hamburg This work

More information

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma THE HARRIS SCIENCE REVIEW OF DOSHISHA UNIVERSITY, VOL. 56, No. 1 April 2015 Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

More information

Burner Tubing Specification for the Turbulent Ethylene Non-Premixed Jet Flame

Burner Tubing Specification for the Turbulent Ethylene Non-Premixed Jet Flame Burner Tubing Specification for the Turbulent Ethylene Non-Premixed Jet Flame Figure 1 shows a schematic of the burner used to support the turbulent ethylene non-premixed jet flames. The dimensions of

More information

A Plasma Torch Model. 1. Introduction

A Plasma Torch Model. 1. Introduction A Plasma Torch Model B. Chinè School of Materials Science and Engineering, Costa Rica Institute of Technology, Cartago, Costa Rica P.O. Box 159-7050, Cartago, Costa Rica, bchine@itcr.ac.cr Abstract: Plasma

More information

collisions of electrons. In semiconductor, in certain temperature ranges the conductivity increases rapidly by increasing temperature

collisions of electrons. In semiconductor, in certain temperature ranges the conductivity increases rapidly by increasing temperature 1.9. Temperature Dependence of Semiconductor Conductivity Such dependence is one most important in semiconductor. In metals, Conductivity decreases by increasing temperature due to greater frequency of

More information

The Q Machine. 60 cm 198 cm Oven. Plasma. 6 cm 30 cm. 50 cm. Axial. Probe. PUMP End Plate Magnet Coil. Filament Cathode. Radial. Hot Plate.

The Q Machine. 60 cm 198 cm Oven. Plasma. 6 cm 30 cm. 50 cm. Axial. Probe. PUMP End Plate Magnet Coil. Filament Cathode. Radial. Hot Plate. 1 The Q Machine 60 cm 198 cm Oven 50 cm Axial Probe Plasma 6 cm 30 cm PUMP End Plate Magnet Coil Radial Probe Hot Plate Filament Cathode 2 THE Q MACHINE 1. GENERAL CHARACTERISTICS OF A Q MACHINE A Q machine

More information

APPENDIX 1 DESCRIPTION OF HOT WIRE ANEMOMETER

APPENDIX 1 DESCRIPTION OF HOT WIRE ANEMOMETER 146 APPENDIX 1 DESCRIPTION OF HOT WIRE ANEMOMETER Basic Principles of CTA Anemometer The hot-wire anemometer was introduced in its original form in the first half of the 0 th century. A major breakthrough

More information

Plasma Modeling with COMSOL Multiphysics

Plasma Modeling with COMSOL Multiphysics Plasma Modeling with COMSOL Multiphysics Copyright 2014 COMSOL. Any of the images, text, and equations here may be copied and modified for your own internal use. All trademarks are the property of their

More information

Heat Transfer. V2 4Jun15

Heat Transfer. V2 4Jun15 Heat Transfer V2 4Jun5 Heat Transfer Conduction Heat transfer through a solid object is done by conduction (Q) between two bodies is a function of the geometry (area and length) and thermal conductivity

More information

PHYS 3446 Lecture #12

PHYS 3446 Lecture #12 PHYS 3446 Lecture #12 Wednesday, Oct. 18, 2006 Dr. 1. Particle Detection Ionization Detectors MWPC Scintillation Counters Time of Flight 1 Announcements Next LPCC Workshop Preparation work Each group to

More information

Theory of Gas Discharge

Theory of Gas Discharge Boris M. Smirnov Theory of Gas Discharge Plasma l Springer Contents 1 Introduction 1 Part I Processes in Gas Discharge Plasma 2 Properties of Gas Discharge Plasma 13 2.1 Equilibria and Distributions of

More information

True/False. Circle the correct answer. (1pt each, 7pts total) 3. Radiation doesn t occur in materials that are transparent such as gases.

True/False. Circle the correct answer. (1pt each, 7pts total) 3. Radiation doesn t occur in materials that are transparent such as gases. ME 323 Sample Final Exam. 120pts total True/False. Circle the correct answer. (1pt each, 7pts total) 1. A solid angle of 2π steradians defines a hemispherical shell. T F 2. The Earth irradiates the Sun.

More information

PHYS 352. Charged Particle Interactions with Matter. Intro: Cross Section. dn s. = F dω

PHYS 352. Charged Particle Interactions with Matter. Intro: Cross Section. dn s. = F dω PHYS 352 Charged Particle Interactions with Matter Intro: Cross Section cross section σ describes the probability for an interaction as an area flux F number of particles per unit area per unit time dσ

More information

Graduate Written Examination Spring 2014 Part I Thursday, January 16th, :00am to 1:00pm

Graduate Written Examination Spring 2014 Part I Thursday, January 16th, :00am to 1:00pm Graduate Written Examination Spring 2014 Part I Thursday, January 16th, 2014 9:00am to 1:00pm University of Minnesota School of Physics and Astronomy Examination Instructions Part 1 of this exam consists

More information