Profile simulation of gas chopping based etching processes

Similar documents
ETCHING Chapter 10. Mask. Photoresist

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Numerical Simulation of Bosch Processing for Deep Silicon Plasma Etching

Reactive Ion Etching (RIE)

Etching: Basic Terminology

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Wet and Dry Etching. Theory

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Section 3: Etching. Jaeger Chapter 2 Reader

Etching Capabilities at Harvard CNS. March 2008

Profile simulations of gas chopping etching processes

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

INVESTIGATION of Si and SiO 2 ETCH MECHANISMS USING an INTEGRATED SURFACE KINETICS MODEL

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Deuterium and fluorine radical reaction kinetics on photoresist*

CHAPTER 6: Etching. Chapter 6 1

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

Plasma Deposition (Overview) Lecture 1

Regents of the University of California

Si etching in high-density SF 6 plasmas for microfabrication: surface roughness formation

EE C245 ME C218 Introduction to MEMS Design Fall 2007

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

PIC-MCC/Fluid Hybrid Model for Low Pressure Capacitively Coupled O 2 Plasma

Chapter 7 Plasma Basic

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Analyses of LiNbO 3 wafer surface etched by ECR plasma of CHF 3 & CF 4

Plasma etching. Bibliography

LECTURE 5 SUMMARY OF KEY IDEAS

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Fabrication Technology, Part I

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

CVD: General considerations.

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Simulations of Si and SiO 2 Etching in SF 6 +O 2 Plasma

Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

FRAUNHOFER IISB STRUCTURE SIMULATION

Device Fabrication: Etch

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

Chapter 7. Plasma Basics

Modeling of MEMS Fabrication Processes

Plasma atomic layer etching using conventional plasma equipment

Chapter 6. Summary and Conclusions

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Feature-level Compensation & Control

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Nanopantography: A method for parallel writing of etched and deposited nanopatterns

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

DOE WEB SEMINAR,

Atomic Fluorine Beam Etching Of Silicon And Related Materials

Three Approaches for Nanopatterning

Competitive Advantages of Ontos7 Atmospheric Plasma

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

Plasma Kinetic Study of Silicon-Dioxide Removal with Fluorocompounds in a Plasma-Enhanced Chemical Vapor Deposition Chamber

EFFECT OF PRESSURE AND ELECTRODE SEPARATION ON PLASMA UNIFORMITY IN DUAL FREQUENCY CAPACITIVELY COUPLED PLASMA TOOLS *

ARGON RF PLASMA TREATMENT OF PET FILMS FOR SILICON FILMS ADHESION IMPROVEMENT

Inductively Coupled Plasma Etching of Ta, Co, Fe, NiFe, NiFeCo, and MnNi with Cl 2 /Ar Discharges

MODELING OF AN ECR SOURCE FOR MATERIALS PROCESSING USING A TWO DIMENSIONAL HYBRID PLASMA EQUIPMENT MODEL. Ron L. Kinder and Mark J.

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Characteristics of Neutral Beam Generated by a Low Angle Reflection and Its Etch Characteristics by Halogen-Based Gases

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Plasma Processing in the Microelectronics Industry. Bert Ellingboe Plasma Research Laboratory

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Atomic layer deposition of titanium nitride

CHAPTER 8. SUMMARY AND OUTLOOK 90 Under the operational conditions used in the present work the translation temperatures can be obtained from the Dopp

Shapes of agglomerates in plasma etching reactors

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

Lithography and Etching

University of California, Santa Barbara Santa Barbara, California 93106

Secondary ion mass spectrometry (SIMS)

DQN Positive Photoresist

Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Reactive Etching and Surface Damage

Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

EE-612: Lecture 22: CMOS Process Steps

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

A Working Electron Impact Cross Section Set for CHF 3. Mark J. Kushner a) and Da Zhang b) University of Illinois 1406 W. Green St Urbana, IL 61801

Secondary Ion Mass Spectroscopy (SIMS)

Transcription:

Profile simulation of gas chopping based etching processes B.E. Volland, Tz. Ivanov and I.W.Rangelow Institute of Technological Physics, University of Kassel, Heinrich-Plett-Straße 40, 34132 Kassel, Germany E-Mail: bvolland@hrz.uni-kassel.de Abstract A simulation program based on a phenomenological surface etching reaction model and on a reactant transport model including shadowing and diffuse particle reflection at the sidewalls was developed to investgate the dependence of the etching rate and profile quality of Gas Chopping Deep Reactive Etching Processes (GChDRIE) on process parameters and sample temperature. The simulations are in good agreement with the experimental results. The dependence of the heating characteristics on the geometry (area, thickness) of teeny MEMS devises or membrane-like silicon samples during microstructuring by means of plasma etching was investigated using FE simulations. It was found that membrane-like samples are considerably heated unless the membrane area is sufficiently small (< 5 mm φ) and/or is sufficiently thick ( 500 µm). 1. Introductíon Several theoretical models exist for the etching reaction between fluorine and silicon. [1-3] The results of these models are local instantaneous etching rates or etching yields, whereas the prediction of the etching profile is beyond the scope of these models. The comparison of these models with experiments and their application to profile prediction requires a detailed knowledge of plasma species concentrations and velocity and energy distributions, which are often not or not exactly known to the process designer, or even to the researcher. [3] Models which predict the profile for gas chopping deep RIE (GChDRIE) are few, and, because of the intended application of the authors, the sidewall quality (roughness) was not modeled. [4-6] In this work a simulation model is presented to predict the etching profile for (GChDRIE) [7-10] processes, including the modeling of the sidewall roughness, and the sample temperature dependence. The program includes a surface reaction model based on phenomenological etching rate model, and a reactant transport model, which includes shadowing of particles and diffuse reflection of particles at the sidewall. The model is intentionally simplified in order to, first, reduce the number of free parameters, and second, to allow the determination of these required parameters from etching rate and profile shape data. The microstructuring of silicon membranes or membrane-like samples becomes increasingly important for the fabrication of micro electromechanical systems (MEMS) and senors, transducers, cantilevers [11] or next generation lithography masks. [12] Since the use of modern plasma etching techniques incorporates the use of high density plasma sources (inductively coupled plasma, ICP), a considerable amount of power is deposited in the sample, which causes the sample temperature to rise. The temperature distribution of the sample depends on the input power, the exothermic etching reaction, the thermal contact to the cooled cathode, and on the sample geometry. Since there is a relatively large gap between the backside of face-up placed membranes and the cathode, because of the wafer ring surrounding the membrane, the thermal contact between membrane-like samples and the cathode is less efficiant than that of wafer samples. Therefore, the heating problem is a more critical issue especially for membrane-like samples. In order to get an estimate of the membrane temperature during etching, the temperature distribution on membrane-like samples was calculated using FE simulations. 2. Experimental The etching and deposition experiments have been carried out in a commercially available plasma etcher (System 100 from Oxford Plasma Technology) equipped with an inductively coupled plasma source (ICP). The samples are placed on a cryogenic table specially designed for the handling of MEMS. [13] Optical lithography with photoresist mask was used to structure the wafers. Etching and deposition rate data has been collected for process parameters listed in table 1. Table 1: Process parameters for the etching and depostion processes. Pure ion-enhanced data is obtained by subtracting the chemical etching data from the (chemical + ion-enhanced) data. chemical etching chemical + ion-enhanced etching deposition SF 6 [sccm] 20.. 150 20.. 150 - Ar [sccm] - 0.. 194 - CHF 3 [sccm] - - 40 CH 4 [sccm] - - 7 p [mtorr] 20 5.. 50 55 ICP [W] 600 600 600 DC [V] 0 (rf off) 50.. 170 0.. 70 T [ C] -100.. +100-100.. +100-50.. +100 1

3. Modelling A complete simulation for plasma etching processes consists of 3 individual model descriptions for the 3 major effects: a) Plasma reactor model: This model should, based on the input parameters like etch chamber geometry, applied power, gas flows and gas mixtures, and operating pressure, predict the output parameters like concentrations of reactive species (radicals, ions), and their angular and energy distribution functions. These output parameters are the input parameters for the b) Transport model, which describes the transport of the "plasma" species from the sheath to the surface of the processed sample. The output parameters of the transport model are local flow rates and local angular distributions of individual plasma species. The flow rates and distributions may be different for each individual point of the surface. The model should consider the influence of the geometry of the sample on the transport properties, e.g it should consider the shadowing of plasma species at the mask, and calculate the resulting reduction of the flow rates. The local flow rates and distributions are the input parameters for the c) Surface reaction model. The surface model calculates, based on individual local flow rates of plasma species such as ion or reactive radicals, the local etching (or deposition) rates, for each point of the surface. The geometry of the surface is locally modified according to the local etching or deposition rates. The final geometry of the surface at the end of the simulated process time represents the obtained etching profile. According to this outline, it seems that the individual models are all decoupled from each other, that is, the foregoing model simply supplies the input parameters of the following model, without feedback from the following models. However, in reality this assumption is not true. For instance, the concentration of plasma species may be diminished by a high open area density of the sample (micro loading). Here, the surface model feeds back to the plasma reactor model. Also, the sample geometry and surface modifications (such like passivation or fluorination), as calculated by the surface model, influence the local sticking probabilities, which in turn affects the transport model. For simplicity and faster program execution, the models have been partially decoupled. The development of a plasma reactor model is beyond the scope of this work. Rather than calculating the concentration of plasma species from process parameters like gas flows or exitation powers, they are obtained by comparing the model calculations with the experimental results, and calibrate the concentrations and distributions accordingly. 3.1 Surface Model The gas chopping etching process consists of 3 cycles [9, 10]: 1.) Deposition of a polymer film, which serves as a passivation layer. All area covered by the polymer is not etched. 2.) Removal of the polymer film, preferably at the bottom of the trench, mainly due to ion bombardment. 3.) Etching of the clean silicon surface, due to pure chemical etching and ion-enhanced etching. Therefore, the model must describe 3 major effects: polymer deposition, polymer removal (due to sputtering), and reactive ion etching of silicon. a) Polymer desposition The assumption was made that the polymer deposition rate DR is equal to the local monomer flow Φ mono times the temperature dependent deposition yield factor η depo, DR =η Φ (1) depo Due to lack of more detailed experimental data, no distinction was made between different monomer species, such like CF 1, CF 2, etc. Instead, all the flows of different monomer species are lumped together into one integral monomer flow, which represents the mean effective monomer flow. Likewise, the deposition yield also is an integral effective deposition yield. b) Polymer removal. The assumption is made that the polymer is removed mainly due to ion bombardment. This assumption is supported by the experimental finding that the polymer is removed preferably at the bottom of the trench (which is subject to ion bombardment), while the sidewalls (which receive a much lower ion flow, but also a high flow of neutrals) remain passivated by the polymer film. Therefore, the removal or the etching rate of the polymer film ER poly is equal to the local ion flow times the polymer sputter yield factor η sp. ER =η Φ (2) poly c) Etching of blank silicon Silicon is etched due to thermal (or spontaneous) etching by radicals, i.e. atomic fluorine, and due to ion-enhanced etching, where ion bombardment of the surface aids in the removal of silicon. It is understood that energetic ion bombardment of a fluorinated silicon surface provides the required activation energy to form volatile products (SiF 2, SiF 4 ). Physical sputtering of the silicon surface is neglected here, because for the usual process conditions the effect of physical sputering can be neglected. There are different models describing this effect, reported in the literature. The simplest model is to equal the total silicon etching rate ER tot with the sum of the local fluorine flow Φ F, 2 sp mono

times the temperature dependent thermal etching yield factor η chem, and the local ion energy flow Φ times the temperature dependent ion-enhancement factor η -enh, ER Er + ER = η Φ + η Φ (3) tot = chem enh chem F enh This model is formally identical to a simultaneously etching due to thermal etching and physical sputtering. In this simplified model, it is implicitly assumed that a given ion-enhancement yield factor is only valid for a certain fluorine surface coverage, and that the fluorine surface coverage nearly does not vary. In this case, the ion-enhancement yield factor can be considered a constant. The thermal etching yield factor η chem is often being of Arrhenius form. [14] This temperature dependence was found from data of atomic fluorine beam experiments. In the case of plasma etching, however, not only fluorine atomes impinge on the surface, but also contaminations from the masking material (photoresist), the chamber walls (oxygen from the quartz cylinder), and from the process gases themselves (sulfur or carbon compounds, from the SF 6 or CHF 3 gas feeds, respectively), which adsorb and interact on the surface. We believe, that it's due to these interactions, that we do not observe an Arrhenius-like temperature dependence of the thermal etching yield. Instead of making further assumptions or investigations on the nature of such contaminates and their interactions, we simply extract the thermal etching yield factor from chemical etching rate data. A more detailed etching rate model explicitly considers the dependence of the ion-enhanced etching yield on the fluorine surface coverage θ. This is in accordance with the "reactive spot model". [15] Gray et al. have published a phenomenological model of fluorinebased plasma etching based on this approach. [1] The model presented in this work is similar. The ion-enhanced etching rate ER ion is the product of the temperature dependent ion-enhancement reaction probability η, the fluorine surface coverage θ,and the ion energy flow Φ : ER =η ΘΦ (4) The ion-enhanced etching rate depends on the ion energy flow, not simply the ion flow. Steinbrüchel reported yield factors for physical and chemical sputtering being proportional to the ion flow rate, and the square root of the fraction of the ion energy above the threshold energy. [16] It is therefore understood, that the ion energy flow (or, in short, the ion flow), as mentioned in this work, is the product of the ion flow time the square root of the mean ion energy. The ion angular distribution as mentioned in this work therefore also has to be understood as the energy integral over all ion energy angular distributions. For simplicity, a Gaussian angular distribution with dispersion σ was assumed. The degree of the fluorine surface coverage is defined as the ratio of adsorbed fluorine atoms per unit area, to the maximum possible number of adsorbed fluorine atoms per unit area. No distinction is made whether the fluorine is physisorbed or chemisorbed. The maximum possible surface coverage Θ max can be estimated by the number of surface silicon atoms, and assuming that n fluorine atoms chemisorb per one surface silicon atom. The area density of surface silicon atoms is assumed to be equal to the number of silicon atoms within a layer with a thickness of one silicon lattice constant κ (=0.543nm) from the surface. The volume density of silicon atoms is calculated as the ratio of silicon (mass) density ρ Si and the silicon atomic mass m Si, resulting in ρsi Θ max = n κ (5) m By balancing the fluorine flow to the surface and the consumation of fluorine by the etching of silicon, one can write the effective adsorption flow j ads as J ads = s 0 (1 Θ) Φ F B ER ion, (6) The first term is the fluorine flow adsorbed at the surface. The effective sticking probability is the sticking probability of a clean surface, s 0 times the number of clean silicon surface sites, (1-Θ) (Langmuir adsorption). The last term denotes the loss in adsorbed fluorine due to ion-enhanced etching of silicon. Thermal desorption of fluorine is neglected in this model. In order to calculate the loss term in the site balance, it is assumed that b surface fluorine atoms are consumed by etching one silicon atom by ion-enhanced etching. If the reaction product for ion-enhanced etching is SiF 2, then b=2, whereas b=4 for SiF 4. As a the etching products are a mixture of SF 2 and SF 4 [1], it was assumed b=2.5. The flow rates (atoms per area and time) can also be expressed in units of the surface coverage per time, by dividing equation (6) by the maximum possible surface coverage. In this way, the site balance writes more conveniently. b j ads = s 0 (1 Θ) ϕf ER ion, (7) nκ where j ads =J ads /Θ max, and ϕ F =Φ F /Θ max. In steady state, the net surface adsorbtion flow ist zero, hence the surface coverage becomes: s 0ϕ F Θ = (8) a s 0ϕF + η ϕ ion F nκ Here, ϕ is the ion energy flow in units of surface coverage per time. Si 3

The profile calculations are done by a self developed profile simulation program based on a string algorithm. The profile simulation is 2 dimensional, therefore, the simulated profiles represent long trenches rather than circular holes. (More details will be published in the author's Ph. D. thesis.[17]). 3.2 Transport Model The required input parameters of the surface model are the local flow rates, which are delivered by the transport model. Since the operating pressure is below 50 mtorr, and the width of the features typically is in the range of less than 10 µm, molecular flow can be assumed. For further simplifications, reflections of ions at the sidewalls or any distortions of ion trajectories due to charging are neglected. In the simplest case, only direct line-of-sight shadowing is considered. That is, only particles with a direct line-of-sight to a certain point at the surface can reach that point. By comparing the simulation calculations with the experimental results, it was found that the direct line-of-sight shadowing underestimates the flow of neutrals to the surface. It was assumed, that the diffuse reflection of particles from the surface play an important role in the transport of the neutrals. The indirect flow onto each point of the surface, originating from the diffuse reflection of particles from all other points of the surface is calculated by Monte Carlo simulations separately from the profile simulation with a self-developed two dimensional Monte Carlo flow simulation program. [17] An analytical function Φ=f(sw, bt, AR) was fitted to the simulation results, where Φ is the integral flow rate at the bottom, divided by the bottom area (bottom mean flow rate). This formula was used to calculate the flow of diffusely reflected neutrals. The profile of the trench was approximated by a perfectly vertical sidewall. (This assumption is not a strong constraint, since in reality; usually perfectly vertical sidewalls are achieved. However, simulation results must be carefully checked if profiles much different from vertical profiles are obtained.) Furthermore, it was assumed that all sidewall area is covered by polymer, and all bottom area is free of polymer (clean silicon). Sticking probabilities for the particles to a polymer surface and to a silicon surface were varied in 4x4 steps from zero to 1. In this aspect, the presented model extends previously published work, [18] where sidewall reactions have been negelcted. The aspect ratio of the trenches was varied from 1 to 25. The assumption that all area of the sidewall is covered by polymer, and all bottom area is free from polymer is a good approximation for most cases. However, it is not always met. If the sidewall polymer is partially removed (sidewall errosion), or, if the polymer gradually "pulls back" from the bottom and the sidewall area close to the bottom of the trench, this assumption is violated. Moreover, since the surface fluorine coverage affects the effective or apparent sticking probability, the assumption of a constant bottom sticking probability is not met. Here, the feedback of the surface model onto the transport model is restricted to the aspect ratio parameter only. Despite all these constraints, the diffuse reflection model still is a better approximation than the previously used direct shadowing assumption. 3.3. Temperature Simulations During the optimization of the etching process for the membrane trenches, it was observed that processes which worked well for wafers, did fail on membranes. It was suspected that this was due to the heating of membrane-like samples during the etching process. In order to investigate this problem, thermal simulations, using the FE program "TOCHNOG" [19] were carried out. For simplicity, the circular shaped samples (with 10 cm diameter, wafer thickness 600 µm) were aproximated by a quadratical shape (with 10 cm edge length). Only heat conduction was considered as a heat transport mechanism. The sample is placed on a cooled sample holder ("table") which is kept at constant temperature of 12 C (Dirichlet boundary condition). Helium backing at 5 Torr provides thermal contact between sample and table. The surface roughnes of the sample backside ant the table is approximated by a homogenous gap of 5 µm. The sample is heated by a constant homogenous heat flow (10 kw/m²) through the sample's top surface (Neuman boundary condition). No heat is transferred through the sample's edge surface (adiabatic boundary condition). The membrane diameter is varied from 1 to 60 mm, and the membrane thickness is varied from 5 to 590 µm. 4. Simulation results 4.1 Transport Simulations Simulations for diffuse reflection transport of neutral particles, entering the top of the trench with isotropic velocity distribution, have been calculated for values of the sidewall sticking probability P bt =0.01; 0.1; 0.5; and 1, the bottom sticking probability P sw =0; 0.1; 0.5; and 1, and aspect ratios AR from 1 to 25. 100,000 particles were used to calculate the partcle flow for one parameter point (P sw, P bt, AR), exept for the low sidewall and bottom sticking probabilities, where a higher number of particles (200,000 or 350,000) have been used. The simulation results for the normalized mean flow density at the bottom Φ were approximated by an analytical function Φ = P bt ( u P sw 2 + α + β P sw ) arctan γ d + 2 AR P sw P bt + c, (9) 4

with α, β, γ, u, c, and d being constants, which are listed in table 2. This analytical approximation can quite well reproduce the simulation results, with the exception of zero sidewall sticking probability, where the agreement between simulations and fit is not very good, although the trends are still in good agreement. However, this is only the therotical limit case of a full reflectional sidewall, which in reality is hardly achieved. For higher sidewall sticking probabilities, the agreement between the simulation results and the fit is good. Table 2: Parameters of the analytical fit for the diffuse reflection transport model. Parameter symbol a b g u c d value 0.40 0.20 1.4-0.25 0.022-0.17 error 0.05 0.1 0.2 0.1 0.005 0.3 Note: Since d is negative, for certain combinations of (low) sticking probablilities and low aspect ratio, the denominator can become zero or even negative, which is an indicator for the fact that this approximation formular is not suited for low (< 1) aspect ratios. For high aspect ratio etching, the decrease in particle flow to the bottom with increasing aspect ratio is most important, since the etching rates (according to the models presented in paragraph 3) depend linearily on the neutral flow. For sticking probabilities close to unit, the decrease of the bottom flow with increasing aspect ratio (or trench depth) is more pronounced than for low sticking probabilities. (Fig. 1) Fig.1: Normalised mean neutral flow to the bottom of the trench vs. aspect ratio for sidewall sticking probabilities (sw) of 0.1 (a) and 0.5 (b) and various bottom (bt) sticking probabilities. Simulation results (dotted lines) and the analytical fit (broken line) are in good agreement. 4.2. Profile Simulations For the diffuse reflection transport model, the fit equation (9) was used to calculate the flow. In addition to the explanations in paragraph 3.2, equation (9) was normalized to the flow for aspect ratio AR=1, because the neutral flow to the surface and the yield factor were determined from low aspect ratio etching rates, in order to get the "open field" properties. Yield factors obtained from open field data (low aspect ratio) are independent from transport properties and it can be assumed that in this case the particle flow to the surface originates from the full solid angle. Normalizing the particle flow rates to those of arbitrarily chosen standard process conditions allows for a consistent determination of the yield factors. The tranport properties can be extracted from high aspect ratio etching rates and profile shapes for purely chemical etching, i.e. rf=0 watts. Likewise, the ion angular dispersion is obtained from high aspect ratio ion-enhanced etching profiles. Etched and simulated profiles for GChDRIE process and simulation parameters listed in table 3 are shown in Fig. 2. Simulated and experimental profiles are in good agreement. The simulation reproduces the depth of the trench, the profile slope and the sidewall roughness (size of the "ripples"). As the sample temperature and the operating pressure during the etching cycle are increased, the etching rate and the size of the sidewall ripples are increased. Also, the profile slope becomes slightly negative. These effects are also reproduced by the simulation (Fig. 2b). 5

4.3. Temperature simulations For a standard membrane of 10 cm wafer size (4" wafer), 5 µm thickness and 60 mm membrane diameter, an increase in temperature of about 30 degress was found for a input power density of 10 4 W/m². This result is consistent with the results of Muller et al., who investigated similar samples. [20] However, due to technical constraints, it was not possible to actually measure the temperature of the membrane. By comparing the etching rates and surface qualities of etching processes on membranes (cathode temperature set to 12 C) and wafers (cathode temperatures varied from 10 C to 100 C), it can be concluded that the simulated temperature rise of about 30 C is consistent with the experiment. Table 3: Process and simulation parameters. Fig 2a) Fig 2b) etching deposition etching deposition time [sec] 17 19 17 19 SF 6 [sccm] 10-10 - Ar [sccm] 24-24 - O 2 [sccm] 3-3 - CHF 3 [sccm] - 40-40 CH 4 [sccm] - 7-7 p [mtorr] 6 55 20 55 ICP [W] 600 600 600 600 DC [V] 110 50 110 50 T [ C] 30 30 100 100 angular spread [ ] 0.5-0.8 - Neutral sidewall sticking probability [1] 0.1 0.5 0.1 0.5 Neutral bottom sticking probability [1] 0.1 0.5 0.1 0.5 number of cycles 24 24 Fig. 2: Simulated and experimental profiles. a) temperature=30 C, pressure=6 mtorr. b) Temperature=100 C, pressure=20 mtorr (refer to table 3). Depth, etching rate, profile slope and sidewall roughness of the simulated profiles are in good agreement with the experimental profile. 6

Since the temperature rise linearily depends on the input power, which is a parameter not excactly known and also subject to be changed due to process optimization, it was investigated whether the membrane heating could be reduced or eventually eliminated by changes in the membrane geometry. Therefore, the thickness and the membrane area was varied, and the maximum temperature at the top side of the membrane was simulated. It was found that geometry changes do not affect the maximum membrane temperature, unless the membrane area becomes very small (< 5 mm φ) (Fig. 3a) or the membrane becomes very thick (more than 400 µm). (Fig. 3b) Fig. 3: Results of the temperature simulations. Maximum sample temperature as function of the membrane diameter (a) for membranes thicknesses of 5 and 100 µm thickness, and as function of the membrane thickness (b) for 60 mm membrane diameter. From these results it was concluded that the membrane heating could not be reduced by modifications of the membrane geometry compatible to the device specifications. Therefore it is important to know the temperature dependence of the etching process, which was investigated by profile simulations and confirmed by the experiments. 5. Summary and Outlook A 2D profile simulator for GChDRIE processes based on a phenomenological etching rate model considering the sample temperature was developed. Reactant transport is calculated by a direct shadowing (ions) or a diffuse reflection (neutrals) model. The temperature of membrane-like samples is estimated by FE simulations. It was found that the developed simulation model reproduces the experimental profiles within good agreement. Therefore it is concluded that the profile simulation is a promissing approach. Further development would include the consideration of redepositon effects, a stronger coupling of the transport model with the surface model, the extension of the profile simulation to 3 dimensions, and the coupling with a plasma reactor simulation model. References: [1] D.C. Gray et al., J. Vac. Sci. Technol. B 11(4), pp. 1243-1257 (1993) [2] E. Gogolides et al., J. Appl. Phys. 88(1), pp. 5570-5584 (2000) [3] G. Kokkoris et al., J. Appl. Phys. 91(5), pp. 2697-2707 (2002) [4] W.J Dauksher et al., Microelectronic Engineering 57-58, pp. 607-612 (2001) [5] W.J Dauksher et al., J. Vac. Sci. Technol. B19(6), pp.2921-2925 (2001) [6] J. Kiihamäki, J.Vac.Sci. Technol.A 17(4), pp. 2280-2285 (1999) [7] K. Tsujimoto et al., Extended abstracts of the 18 th (1986 international) Conference on solid State Devices and Materials, Tokyo, pp. 229-232 (1986) [8] I. W. Rangelow, A. K. Paul, Microelectronic Engineering 35, pp. 79-82 (1997) [9] B.E. Volland, et al., J. Vac. Sci. Technology B. 17(6) pp. 2768-2771 (1999) [10] B.E Volland, et. al., Microelectronic Engineering 57-58, pp. 641-650 (2001) [11] W. Barth et al., Microelectronic Engineering 57-58, pp. 825-831 (2001) [12] B.E. Volland et al., J. Vac. Sci. Technol. B18(6), pp.3203-3206 (2000) [13] R. Croad, A. Goodyear, I.W. Rangelow, and B.E. Volland, EU-Pat.-Nr. 00311559.9-2203 [14] D.F. Flamm, et al., J. Appl. Phys. 52(5), pp. 3633-3699 (1981) [15] S. Tachi and S. Okudaira, J. Vac. Sci. Technol. B4(2), pp. 459-467 (1986) [16] C. Steinbrüchel, Appl. Phys. Lett. 55(19), pp. 1960-1962 (1989) [17] B.E. Volland, Dissertation (in preparation) [18] J.W. Coburn and H.F. Winters, Appl. Phys. Lett. 55(26), pp.2730-2732 (1989) [19] URL: http://tochnog.sourceforge..net/ 7

[20] K. P. Muller et al., J. Vac. Sci. Technol. B 11(6), 2270-2274 (1993) 8