CHAPTER 6: Etching. Chapter 6 1

Similar documents
4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

ETCHING Chapter 10. Mask. Photoresist

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Device Fabrication: Etch

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Section 3: Etching. Jaeger Chapter 2 Reader

Etching: Basic Terminology

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

LECTURE 5 SUMMARY OF KEY IDEAS

Wet and Dry Etching. Theory

Chapter 7 Plasma Basic

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Chapter 7. Plasma Basics

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Plasma Deposition (Overview) Lecture 1

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Introduction to Plasma

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

6.5 Optical-Coating-Deposition Technologies

CVD: General considerations.

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

Plasma etching. Bibliography

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

MICROCHIP MANUFACTURING by S. Wolf

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Reactive Ion Etching (RIE)

Introduction to Thin Film Processing

Characterization of low pressure plasma-dc glow discharges (Ar, SF 6 and SF 6 /He) for Si etching

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Fabrication Technology, Part I

Introduction to Photolithography

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Name:. Correct Questions = Wrong Questions =.. Unattempt Questions = Marks =

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Repetition: Practical Aspects

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Lithography and Etching

Lecture 0: Introduction

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2010

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Film Deposition Part 1

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Regents of the University of California

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Chapter 3 Engineering Science for Microsystems Design and Fabrication

FINE PATTERN ETCHING OF SILICON USING SR-ASSISTED IONIZATION OF CF4 GAS

Extrel Application Note

Matti Laan Gas Discharge Laboratory University of Tartu ESTONIA

Unit 4: Chemical Changes (Higher Content)

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Unit 6 Solids, Liquids and Solutions

Low temperature anodically grown silicon dioxide films for solar cell. Nicholas E. Grant

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

Copyright Warning & Restrictions

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Mass Analyzers. Principles of the three most common types magnetic sector, quadrupole and time of flight - will be discussed herein.

Adjustment of electron temperature in ECR microwave plasma

Chapter 6. Summary and Conclusions

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Chapter Test A. Chapter: Chemical Equilibrium

Sputter Ion Pump (Ion Pump) By Biswajit

M09/4/CHEMI/SPM/ENG/TZ1/XX+ CHEMISTRY. Monday 18 May 2009 (afternoon) 45 minutes INSTRUCTIONS TO CANDIDATES

SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Secondary Ion Mass Spectroscopy (SIMS)

10. Calculate the mass percent nitrogen in (NH 4 ) 2 CO 3 (molar mass = g/mol). a % c % e % b % d % f. 96.

Stoichiometry: Chemical Calculations. Chemistry is concerned with the properties and the interchange of matter by reaction i.e. structure and change.

Critical Plasma Processing Parameters for Improved Strength of Wire Bonds

EE C245 ME C218 Introduction to MEMS Design

Semiconductor Technology

Electrical Discharges Characterization of Planar Sputtering System

Surface processes during thin-film growth

Ch. 8 - Solutions, Acids & Bases. Solution = a homogeneous mixture of 2 or more substances

ION Pumps for UHV Systems, Synchrotrons & Particle Accelerators. Mauro Audi, Academic, Government & Research Marketing Manager

Chapter 8 Ion Implantation

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

Introduction to X-ray Photoelectron Spectroscopy (XPS) XPS which makes use of the photoelectric effect, was developed in the mid-1960

40S CHEMISTRY FINAL EXAM PROBLEM REVIEW SHEET:

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Etching Applications and Discoveries Made Possible by Advanced Ion Energy Control

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular

MODELING OF SEASONING OF REACTORS: EFFECTS OF ION ENERGY DISTRIBUTIONS TO CHAMBER WALLS*

Transcription:

Chapter 6 1 CHAPTER 6: Etching Different etching processes are selected depending upon the particular material to be removed. As shown in Figure 6.1, wet chemical processes result in isotropic etching where both the vertical and lateral etch rates are comparable, whereas dry etching processes like sputter etching, plasma etching, ion beam etching, and reactive ion etching are anisotropic. Among the dry etching techniques, plasma and reactive ion etching are the most popular in semiconductor processing. Figure 6.1: Difference between plasma (anisotropic) and wet (isotropic) etching.

Chapter 6 2 6.1 Wet Chemical Etching Wet chemical etching is employed in various processing steps. In wafer fabrication, chemical etching is used for lapping and polishing to give an optically flat, damage-free surface. Prior to thermal oxidation or epitaxial growth, wafers are chemically cleaned and scrubbed to remove contamination that results from handling and storing. For many discrete devices and integrated circuits of relatively large dimensions (> 3 μ), chemical etching is used to delineate patterns and to open windows in insulating materials. In IC processing, most chemical etchings proceed by dissolution of a material in a solvent or by converting the material into a soluble compound that subsequently dissolves in the etching medium. As illustrated in Figure 6.2, wet chemical etching involves three essential steps: (1) Transportation of reactants to the reacting surface (e.g. by diffusion) (2) Chemical reactions at the surface (3) Transportation of the products from the surface (e.g. by diffusion) Figure 6.2: Basic mechanisms in wet chemical etching.

Chapter 6 3 For semiconductor materials, wet chemical etching usually proceeds by oxidation, accompanied by dissolution of the oxide. For silicon, the most commonly used etchants are mixtures of nitric acid (HNO 3 ) and hydrofluoric acid (HF) in water or acetic acid (CH 3 COOH). The reaction is initiated by promoting silicon from its initial oxidation state to a higher oxidation state: Si + 2h + Si 2+ The holes (h + ) are produced by the following autocatalytic process: HNO 3 + HNO 2 2NO 2 - + 2h + + H 2 O 2NO 2 - + 2H + 2HNO 2 Si 2+ combines with OH - (formed by the dissociation of H 2 O) to form Si(OH) 2 which subsequently liberates H 2 to form SiO 2 : Si(OH) 2 SiO 2 + H 2 SiO 2 then dissolves in HF: SiO 2 + 6HF H 2 SiF 6 + H 2 O The overall reaction can be written as: Si + HNO 3 + 6HF H 2 SiF 6 + HNO 2 + H 2 O + H 2 Although water can be used as a diluent, acetic acid is preferred as the dissociation of nitric acid can be retarded in order to yield a higher concentration of the undissociated species. At very high HF and low HNO 3 concentrations, the etching rate is controlled by HNO 3, because there is an excess amount of HF to dissolve any SiO 2 formed. At low HF and high HNO 3 concentrations, the etch rate is controlled by the ability of HF to remove SiO 2 as it is being formed. The latter etching mechanism is isotropic, that is, not sensitive to crystallographic orientation. Etching of insulating and metal films is usually performed with the same chemicals that dissolve these materials in bulk form and involves their conversion into soluble salts and complexes (Table 6.1). Generally speaking, film materials will etch more rapidly than their bulk counterparts. Moreover, the

Chapter 6 4 etching rates are higher for films that possess poor microstructures or built-in stress, are non-stoichiometric, or have been irradiated. Table 6.1: Etchants for Insulators and Conductors. Material Etchant Composition Etch Rate SiO 2 28 ml HF 170 ml H2O Buffered HF 113 g NH4F 15 ml HF 10 ml HNO3 P Etch 300 ml H2O 100 nm/min 12 nm/min Si 3 N 4 Buffered HF 0.5 nm/min H 3 PO 4 10 nm/min Al 1 ml HNO 3 35 nm/min 4 ml CH 3 COOH 4 ml H 3 PO 4 1 ml H 2 O Au 4 g KI 1 m/min 1 g I 2 40 ml H 2 O Mo 5 mg H 3 PO 4 0.5 m/min 2 ml HNO 3 4 ml CH 3 COOH 150 ml H 2 O Pt 1 ml HNO 3 50 nm/min 7 ml HCl 8 ml H 2 O W 34 g KH 2 PO 4 160 nm/min 13.4 g KOH 33 g K 3 Fe(CN) 6 H 2 O to make 1 liter

Chapter 6 5 6.2 Dry Etching Many of the materials used in VLSI, such as SiO 2, Si 3 N 4, deposited metals, and so on, are amorphous or polycrystalline, and if they are etched in a wet chemical solution, the etching mechanism is generally isotropic, that is, the lateral and vertical etch rates are the same (Figure 6.3b). However, in pattern transfer operations, a resist pattern is defined by a lithographic process (Figure 6.3a), and anisotropic etching is needed to yield steep vertical walls (Figure 6.3c). If h f is assumed to be the thickness of the thin film and l the lateral distance etched underneath the resist mask, the degree of anisotropy, A f, is defined to be: A f 1 l / h f = 1 - v l / v v (Equation 6.1) where v l and v v are the lateral and vertical etch rates, respectively. For isotropic etching, v l = v v and A f = 0. As illustrated in Figure 6.3b, the major disadvantage of wet chemical etching for pattern transfer is the undercutting of the layer underneath the mask, resulting in a loss of resolution in the etched pattern. In practice, for isotropic etching, the film thickness should be about one third or less of the resolution required. If patterns are required with resolution much smaller than the film thickness, anisotropic etching (i.e., 1 > A f > 0) must be used. Figure 6.3c depicts the limiting case when A f = 1, corresponding to l = 0 or v l = 0. To achieve A f = 1, dry etching methods have been developed. Dry etching is synonymous with plasma-assisted etching or reactive plasma etching, which denotes several techniques that employ plasma in the form of a low-pressure discharge.

Chapter 6 6 Figure 6.3: Comparison of wet chemical etching and dry etching for pattern transfer.

Chapter 6 7 6.3 Plasma Excitation and Plasma - Surface Interaction A plasma is a fully or partially ionized gas composed of ions, electrons, and neutrals. The plasma most useful to ULSI processing is a weakly ionized plasma called glow discharge containing a significant density of neutral particles (>90% in most etchers). Although plasma is neutral in a macroscopic sense, it behaves quite differently from a molecular gas, because it consists of charged particles that can be influenced by applied electric and magnetic fields. A plasma is produced when an electric field is applied across two electrodes between which a gas is confined at low pressure, causing the gas to break down and become ionized (Figure 6.4). Simple DC (direct current) power can be used to generate plasma, but insulating materials require AC (alternate current) power to reduce charging. In plasma etching, an RF (radio frequency) field is usually used to generate the gas discharge. One reason for doing so is that the electrodes do not have to be made of a conducting material. The other reason is that electrons can pick up sufficient energy during field oscillation to cause more ionization by electron neutral atom collisions. As a result, the plasma can be generated at pressures lower than 10-3 Torr. Figure 6.4: Schematic representation of a dc glow discharge showing the glow and sheath regions when the cathode and anode are closely spaced. As the spacing is increased, the plasma structure becomes more complex.

Chapter 6 8 The free electrons released by photo-ionization or field emission from a negatively biased electrode create the plasma. The free electrons gain kinetic energy from the applied electric field, and in the course of their travel through the gas, they collide with gas molecules and lose energy. These inelastic collisions serve to further ionize or excite neutral species in the plasma via the following reaction examples: e - + AB A - + B + + e - (Dissociative attachment) e - + AB A + B + e - (Dissociation) e - + A A + + 2e - (Ionization) Some of these collisions cause the gas molecules to be ionized and create more electrons to sustain the plasma. Therefore, when the applied voltage is larger than the breakdown potential, the plasma is formed throughout the reaction chamber. Some of these inelastic collisions can also raise neutrals and ions to excited electronic states that later decay by photoemission, thereby causing the characteristic plasma glow. The interaction of plasmas with surfaces is often divided into two components: physical and chemical. A physical interaction refers to the surface bombardment of energetic ions accelerated across the plasma sheath. Here the loss of kinetic energy by the impinging ions causes ejection of particles from the sample surface. Conversely, chemical reactions are standard electronic bonding processes that result in the formation or dissociation of chemical species on the surface. As exhibited in Figure 6.5, the plasma-assisted etching process proceeds in several steps. It commences with the generation of the etchant species in the plasma, accompanied by diffusion of the reactant through the dark sheath to the specimen surface. After the reactant adsorbs on the surface, chemical reactions and/or physical sputtering occur to form volatile compounds and/or atoms that are subsequently desorbed from the sample surface, diffuse into the bulk gas, and pumped out by the vacuum system.

Chapter 6 9 Figure 6.5: Schematic view of the microscopic processes that occur during plasma etching of a silicon wafer.

Chapter 6 10 6.4 Simple Plasma Etching Systems Figure 6.6 depicts two simple dry-etching systems. Figure 6.6(a) is the schematic of a sputtering - etching system utilizing relatively high energy (> 500 ev) noble gas ions such as argon. The wafer to be etched (called target) is placed on a powered electrode, and argon ions are accelerated by the applied electric field to bombard the target surface. Through the transfer of momentum, atoms near the surface are sputtered off the surface. The typical operating pressure for sputter etching is 0.01 to 0.1 Torr. The direction of the electric field is normal to the target surface and under the operating pressure, argon ions arrive predominantly normal to the surface. Consequently, there is essentially no sputtering of the sidewalls and a high degree of anisotropy can be attained. However, a major drawback for sputter etching is its poor selectivity, for the ion bombardment process etches everything on the surface, albeit the difference in sputtering rates for different materials. Figure 6.6(b) depicts the schematic of a parallel - plate plasma etching system. The plasma is confined between the two closely spaced electrodes. Molecular gases containing one or more halogen atoms are fed through the gas ring. The typical operating pressure is relatively high, from 0.1 to 10 Torr. An alternative plasma etching method is reactive ion etching (RIE), which employs apparatus similar to that for sputter etching shown in Figure 6.6(a). The primary difference here is that the noble gas plasma is replaced by a molecular gas plasma similar to that in plasma etching. Under appropriate conditions, both RIE and plasma etching can give high selectivity and a high degree of anisotropy.

Chapter 6 11 Figure 6.6: (a) A sputtering etching system. (b) A parallel plate, plasma etching system.

Chapter 6 12 6.5 Examples of Etching Reactions In both plasma and reactive ion etching, ions from the plasma are attracted to the sample surface. However, the pure sputtering process is quite slow. The etching rate can be enhanced substantially by ion-assisted chemical reactions. Figure 6.7(a) depicts the etch rate as a function of flow rate of XeF 2 molecules with and without 1 kev Ne + bombardment. The lateral etch rate depends only on the ability of XeF 2 molecules to etch silicon in the absence of energetic ions impacting the surface, whereas the vertical etch rate is a synergistic effect due to both Ne + bombardment and XeF 2 molecules. The degree of anisotropy can generally be enhanced by increasing the energy of the ions. Figure 6.7: Silicon etching rate versus XeF 2 flow rate with and with 1-keV Ne + bombardment. Insert shows the ion-assisted reaction in the vertical direction.

Chapter 6 13 When a gas is mixed with one or more additive gases, both the etch rate and selectivity can be altered. As illustrated in Figure 6.8, the etching rate of SiO 2 is approximately constant for addition of up to 40% hydrogen, while the etch rate for silicon drops monotonically and is almost zero at 40% H 2. Also shown is the selectivity, that is, the ratio of the etch rate silicon dioxide to that of silicon. Selectivity exceeding 45:1 can be achieved with CF 4 - H 2 reactive ion etching. This process is thus useful when etching a SiO 2 layer that covers a polysilicon gate. Figure 6.9: Etching rates of Si and SiO 2 and the corresponding selectivity as a function of percent H 2 in CF 4. The opposite effect can be observed by varying the gas composition of sulfur hexafluoride (SF 6 ) and chlorine, as exhibited in Figure 6.9. The etching rate of silicon can be adjusted to be 10 to 80 times faster than that of silicon dioxide. Examples of some common etchants exhibiting selectivity effects are exhibited in Table 6.2.

Chapter 6 14 Figure 6.9: Dependence of etching rates of polysilicon and selectivity to SiO 2 as a function of the gas composition in SF 6 Cl 2 (at 5.5 Pa). Table 6.2: Etch Rates and Selectivities for Dry Etching. Selectivity Etch Rate Material (M) Gas (Å/min) M/Resist M/Si M/SiO 2 Si SF 6 + Cl 2 1000-4500 5-80 SiO 2 CF 4 + H 2 400-500 5 40 - Al, Al-Si, Al-Cu BCl 3 + Cl 2 500 5 5 25

Chapter 6 15 Figure 6.10(a) illustrates the lift-off technique which has been discussed in the previous chapter. This technique has two distinct disadvantages: (a) Rounded feature profile (b) Temperature limitations (< 200 o C to 300 o C) A more desirable technique is direct etching, which is shown in Figure 6.10(b). Figure 6.10: Schematic representation of two techniques for transferring resist features into a layer: (a) Resist / deposition strip sequence of lift off. (b) Deposit / resist / etch / strip sequence of etching.