TAU 2014 Contest Pessimism Removal of Timing Analysis v1.6 December 11 th,

Similar documents
TAU 2015 Contest Incremental Timing Analysis and Incremental Common Path Pessimism Removal (CPPR) Contest Education. v1.9 January 19 th, 2015

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Issues on Timing and Clocking

CARNEGIE MELLON UNIVERSITY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING DIGITAL INTEGRATED CIRCUITS FALL 2002

EECS 427 Lecture 14: Timing Readings: EECS 427 F09 Lecture Reminders

Designing Sequential Logic Circuits

EE141- Spring 2007 Digital Integrated Circuits

Very Large Scale Integration (VLSI)

Clock signal in digital circuit is responsible for synchronizing the transfer to the data between processing elements.

CSE241 VLSI Digital Circuits Winter Lecture 07: Timing II

MOSIS REPORT. Spring MOSIS Report 1. MOSIS Report 2. MOSIS Report 3

Reducing Delay Uncertainty in Deeply Scaled Integrated Circuits Using Interdependent Timing Constraints

Logic Synthesis and Verification

The Linear-Feedback Shift Register

Digital Integrated Circuits A Design Perspective

Problems in VLSI design

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

Timing Constraints in Sequential Designs. 63 Sources: TSR, Katz, Boriello & Vahid

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

Chapter 7 Sequential Logic

Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering. Jungli, Taiwan

EE 330 Lecture 6. Improved Switch-Level Model Propagation Delay Stick Diagrams Technology Files

EE371 - Advanced VLSI Circuit Design

Lecture 9: Sequential Logic Circuits. Reading: CH 7

ΗΜΥ 307 ΨΗΦΙΑΚΑ ΟΛΟΚΛΗΡΩΜΕΝΑ ΚΥΚΛΩΜΑΤΑ Εαρινό Εξάμηνο 2018

Methodology to Achieve Higher Tolerance to Delay Variations in Synchronous Circuits

EE115C Winter 2017 Digital Electronic Circuits. Lecture 19: Timing Analysis

Integrated Circuits & Systems

Homework Assignment #5 EE 477 Spring 2017 Professor Parker

Luis Manuel Santana Gallego 31 Investigation and simulation of the clock skew in modern integrated circuits

Problem Set 9 Solutions

Lecture 9: Clocking, Clock Skew, Clock Jitter, Clock Distribution and some FM

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Oldham Fall 1999

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)


ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Clock Strategy. VLSI System Design NCKUEE-KJLEE

Sequential vs. Combinational

ECE 407 Computer Aided Design for Electronic Systems. Simulation. Instructor: Maria K. Michael. Overview

A Sequential Quadratic Programming Approach to Concurrent Gate and Wire Sizing *

Delay and Power Estimation

Efficient Crosstalk Estimation

NTE4035B Integrated Circuit CMOS, 4 Bit Parallel In/Parallel Out Shift Register

Chapter 4. Sequential Logic Circuits

Digital Integrated Circuits A Design Perspective

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab

Timing Analysis with Clock Skew

Design for Variability and Signoff Tips

Chapter 7. Sequential Circuits Registers, Counters, RAM

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

Digital Integrated Circuits A Design Perspective

ECE321 Electronics I

Lecture 5. MOS Inverter: Switching Characteristics and Interconnection Effects

Closed Form Expressions for Delay to Ramp Inputs for On-Chip VLSI RC Interconnect

VLSI Design, Fall Logical Effort. Jacob Abraham

PARADE: PARAmetric Delay Evaluation Under Process Variation *

Synchronous Sequential Logic

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

Sequential Logic Circuits

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

GMU, ECE 680 Physical VLSI Design

Robust Analytical Gate Delay Modeling for Low Voltage Circuits

Homework #2 10/6/2016. C int = C g, where 1 t p = t p0 (1 + C ext / C g ) = t p0 (1 + f/ ) f = C ext /C g is the effective fanout

9/18/2008 GMU, ECE 680 Physical VLSI Design

392 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 3, MARCH 2013

Lab #10: Design of Finite State Machines

Driver Waveform Computation for Timing Analysis with Multiple Voltage Threshold Driver Models

SINCE the early 1990s, static-timing analysis (STA) has

Spiral 2 7. Capacitance, Delay and Sizing. Mark Redekopp

ENGR4300 Fall 2005 Test 3A. Name. Section. Question 1 (25 points) Question 2 (25 points) Question 3 (25 points) Question 4 (25 points)

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. November Digital Integrated Circuits 2nd Sequential Circuits

Chapter 14 Sequential logic, Latches and Flip-Flops

A Gate-Delay Model for High-Speed CMOS Circuits *

Fast Buffer Insertion Considering Process Variation

Sequential Circuits. Circuits with state. Silvina Hanono Wachman Computer Science & Artificial Intelligence Lab M.I.T. L06-1

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

Xarxes de distribució del senyal de. interferència electromagnètica, consum, soroll de conmutació.

Fundamentals of Computer Systems

THE UNIVERSITY OF MICHIGAN. Faster Static Timing Analysis via Bus Compression

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

Adders allow computers to add numbers 2-bit ripple-carry adder

A New Characterization Method for Delay and Power Dissipation of Standard Library Cells

EECS 312: Digital Integrated Circuits Final Exam Solutions 23 April 2009

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

Computers also need devices capable of Storing data and information Performing mathematical operations on such data

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

Timing Issues. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolić. January 2003

EE 330 Lecture 6. Improved Switch-Level Model Propagation Delay Stick Diagrams Technology Files - Design Rules

THE UNIVERSITY OF MICHIGAN. Timing Analysis of Domino Logic

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

GMU, ECE 680 Physical VLSI Design 1

Lecture 14: State Tables, Diagrams, Latches, and Flip Flop

Presettable Counters High-Performance Silicon-Gate CMOS

Topic 8: Sequential Circuits

Luis Manuel Santana Gallego 71 Investigation and simulation of the clock skew in modern integrated circuits. Clock Skew Model 1

Sequential Circuit Analysis

Reducing power in using different technologies using FSM architecture

Up/down binary counter with separate up/down clocks


INTEGRATED CIRCUITS. For a complete data sheet, please also download:

Transcription:

TU 2014 Contest Pessimism Removal of Timing nalysis v1.6 ecember 11 th, 2013 https://sites.google.com/site/taucontest2014 1 Introduction This document outlines the concepts and implementation details necessary for the TU 2014 Pessimism Removal Contest. For contest logistics, deadlines and any other updates, please refer to the contest website stated above. The goal of the TU 2014 Contest is to have participants remove unnecessary but inherent pessimism during timing analysis in the presence of variation. Sections 2 and 3 provide the background, motivation, and necessary conceptual understanding for Static Timing nalysis ST and Common Path Pessimism Removal CPPR. Section 4 will provide an example on a sample circuit. 2 Static Timing nalysis ST Primary Inputs Primary Outputs Input Slew s i d Output Slew s o circuit Interconnect Circuit Element Input Slew s i d Figure 1: Generic circuit left and delay model representation of a circuit element right. Timing analysis computes the amount of time signals propagate in a circuit from its primary inputs PIs to its primary outputs POs through various circuit elements and interconnect. Signals arriving at an input of an element will be available at its outputs at some later time; each element therefore introduces a delay during signal propagation. Furthermore, assume that signal transitions are characterized by their input slew and their output slew, which is defined as the amount of time required for a signal to transition from high-to-low or 1

low-to-high. 1 For example, as shown in Figure 1 right, the delay across the circuit element from input to output is designated by d, the input slew at by s i, and the output slew at by s o. Here, both the delay and the output slew are functions of input slew. Section 2.1 will outline timing propagation, while Sections 2.2 and 2.3 will describe delay modeling. 2.1 Timing Propagation Starting from the primary inputs, we quantify the instant that a signal reaches an input or output of a circuit element as the arrival time at. Similarly, starting from the primary outputs, we quantify the limits imposed for each arrival time to ensure proper circuit operation as the required arrival time rat. Given an arrival time and a required arrival time, we define the slack at a circuit node to a measurement of how well timing constraints are met. That is, a positive slack means the required time is satisfied, and a negative slack means the required time is in violation. To account for multiple sources of within-chip variation, such as manufacturing variations, temperature fluctuation, voltage drops, and electromigration, the timing analysis is typically done using an early/late split, where each circuit node has an early lower bound and a late upper bound on its time. 2 y convention, if the early or late mode is not explicitly stated, both modes will be need to be considered. For example, a generic output slew s o that is a function of input slew s i implies that the early mode s early o is a function of early mode s early i, and the late mode s late o is a function of late mode s late i. ctual arrival time. Starting from the primary inputs, arrival times at are computed by adding delays across a path, and performing the minimum in early mode or maximum in late mode of such accumulated times at a convergence point. That is, in early mode, we are concerned with computing the earliest time instant that a signal transition can reach any given circuit node. For example, let at early and at early in Figure 1 right. Then the early mode arrival time at the output pin will be at early = min to be the early arrival times at pins and at early, atearly Conversely, in late mode, we are concerned with computing the latest time instant that a signal transition can reach any given circuit node. Following the same example in Figure 1 right, the late mode arrival time at will be at late = max at late + d late, at late + d late Required arrival time. Starting from the primary outputs, required arrival times rat are computed by subtracting the delays across a path, and performing the maximum in early mode or minimum in late mode of such accumulated times at a convergence point. That is, in early mode, we are concerned with computing the earliest time instant that a signal 1 Here, a low high signal is defined as 10% 90% of the voltage. 2 This is typically done by derating an existing delay value, e.g., by ±5%. For the purposes of this contest, we will base the delays on early and late input slews see Sections 2.2 and 2.3 1 2 2

transition must reach any circuit node. For example, in Figure 2 left, the early mode required arrival time at the input pin will be rat early = max rat early, rat early 3 Conversely, in late mode, we are concerned with computing the latest time instant that a signal transition must reach any given circuit node. Following the same example in Figure 2 left, the late mode required arrival time at the input pin will be rat late = min rat late d late, rat late d late Slacks. For proper circuit operation, the following conditions must hold: 4 at early rat early 5 at late rat late 6 To quantify how well timing constraints are met at each circuit node, slacks slack can be computed based on Equations 5 and 6. That is, slacks are positive when the required times are met, and negative otherwise. slack early = at early rat early 7 slack late = rat late at late 8 Slew propagation. s circuit element delays and interconnect delays are a function of the input slew s i, the subsequent output slew s o must be propagated. In this contest, we will assume worst-slew propagation, where we propagate the smallest largest slew in early late mode. Following the example in Figure 1 right, the early mode and late output slew at output pin are, respectively: s early o s late o 2.2 Interconnect Modeling = min s early o searly i, searly o searly i = max s late o s late i, s late o s late i 10 The basic instance of interconnect wire is a net, which is assumed to have an input pin P ort and one or more output pins T aps, as illustrated in Figure 2 left. Parasitic RC trees only contain grounded capacitors and floating resistors we will not include the discussion of coupling capacitors or grounded resistors. elay. The computation of port-to-tap delays can be accurately performed through electrical simulation. However, and for the sake of simplicity and speed, we will assume the simpler Elmore delay model [1], where the delay is approximated by the symmetric of the value of the rst moment of the impulse response. To compute the delay of RC tree networks, we summarize the topological method provided in [4]. In an RC network, consider any two nodes e and k. Let C k be the lumped capacitance at node k, and let R ke be the total resistance of the common path between the paths from P ort 3 9

Port Taps Input Slew s i Output Slew s ot1 C 1 1 R C R C 4 d T1 R R 3 C 3 d T2 Output Slew s ot2 2 C 2 R E C 5 Figure 2: Generic interconnect left, its timing model center and RC network right. to e and P ort to k. For example, in Figure 2 right, the resistance between nodes 1 and R 1 T2 is R, as that is the only common resistor between the paths to 1 and to. The Elmore delay at node e is d e = k N R ke C k 11 where N is the set of all nodes in the RC network. For the example net illustrated in Figure 2 right, the delay at node tap is d T2 = R C 1 + C 3 + C 4 + R + R C 2 + R + R + R E C 5 12 Output slew. The value of the output slew s o on any given tap node T can be approximated by a two-step process. First, compute the output slew of the impulse response on T, which was observed [1, 2] to be well-approximated by 2 ŝ ot 2β T + d 3 where β T is the second moment of the input response at node T, and d T is the corresponding Elmore delay from Equation 11. Second, compute the slew of the response to the input ramp by the expression given in [3] s ot s i2 + ŝ 2 ot 14 where s i is the input slew. The value of β T can be computed through the efficient path-tracing algorithm for moment computation proposed in [5], which is a generalization of the algorithm proposed in [1]. To calculate β T, first replace all capacitance values C k in the RC network by C k d k, where d k is the Elmore delay from Equation 11 see Figure 3. Second, follow the same procedure as before for finding β e β e = R ke C k d k 15 k N Following the example in Figure 3, at node, we have β T2 = R C 1 d 1 + C 3 d 3 + C 4 d 4 + R + R C 2 d 2 + R + R + R E C 5 d 5 16 4

1 C 1 d 1 R R 3 R C R C 3 d 3 C 4 d 4 C 2 d 2 2 R E C 5 d 5 Figure 3: Modified RC network for output slew calculation. 2.3 Circuit Element Modeling In this section, we will divide our discussion into combinational and sequential circuit elements. Combinational elements. For a given combinational cell, e.g., OR gate, we let the delay d and output slew s o for a input/output pin-pair see Figure 4 be d = a + b C L + c s i 17 s o = x + y C L + z s i 18 Here, a, b, c, x, y and z are cell-dependent constants, C L is the output load at the output pin, and s i is the input slew at the input pin. C L denotes the equivalent downstream capacitance seen from the output of pin of the cell. For simplicity, we assume C L to be the sum of all capacitances in the parasitic RC tree including cell pin capacitances C I at the taps C L = k N C k 19 where N is the set of all nodes in the RC network. Following the example in Figure 2, the output load is trivially C L = C 1 + C 2 + C 3 + C 4 + C 5 20 Input Slew s i d Output Slew s o Input Slew s i d C I C I C L Figure 4: Combinational OR gate left, its timing model center and capacitances right. d d 5 d

FF 1 Combinational Logic FF 2 Setup Hold Setup Hold d d comb Setup Hold d CLO l i l o Figure 5: Generic flip-flop and its timing model left, and two FFs in series and their timing models right. Sequential elements. Sequential circuits consist of combinational blocks interleaved by registers, usually implemented with flip-flops FFs. Typically, sequential circuits are composed of several stages, where a register captures data from the outputs of a combinational block from a previous stage, and injects it into the inputs of the combinational block in the next stage. Register operation is synchronized by clock signals generated by one or multiple clock sources. Clock signals that reach distinct flip-flops, e.g., sinks in the clock tree, are delayed from the clock source by a clock latency l. flip-flop is a storage element that captures a given logic value at its input data pin, when a given clock edge is detected at its clock pin, and subsequently presents the captured value and its complement at the output pins and. The flip-flop also enables asynchronous preset set and clear reset of the output pins through the respective S and R input pins. 3 Setup and hold constraints. Proper operation of a flip-flop requires the logic value of the input data pin to be stable for a specific period of time before the capturing clock edge. This period of time is designated by the setup time t setup. dditionally, the logic value of the input data pin must also be stable for a specific period of time after the capturing clock edge. This period of time is designated by the hold time t hold. The flip-flop timing models are depicted in Figure 5 left. Setup and hold constraints are respectively modeled as functions of the input slews at both the clock pin and the data input pin as t setup = g + h s early i + j slate i 21 t hold = m + n s late i + p s early i 22 Here, g, h, j, m, n and p are flop-specific parameters, s i is the input slew at, and s i is the input slew at. Signal propagation. Consider the standard signal transition between two flip-flops as illustrated in Figure 5 right. ssuming that the clock edge is generated at the source at time 3 The complement, preset and clear signals are stated here for completeness. For the purposes of the contest, their behavior will be ignored. 6

0, it will reach the injecting launching flip-flop F F 1 at time l i, making the data available at the input of the combinational block d time later. If the propagation delay in the combinational block is d comb, then the data will be available at the input of the capturing flip-flop F F 2 at time l i + d + d comb. Let the clock period to be a constant T. Then the next clock edge will reach F F 2 at time T + l o. For correct operation, the data must be be available at the input pin of F F 2 t setup time before the next clock edge. Therefore, at the data input pin of F F 2, we have the following: at late rat setup = rat late = li late + d + d late comb 23 = T + lo early t setup 24 similar condition can be derived for ensuring that the hold time is respected. The data input pin of F F 2 must remain stable for at least t hold time after the clock edge reaches the corresponding pin. Therefore, at the data input pin of F F 2, we have the following: at early rat hold = rat early = l early i + d comb 25 = lo late + t hold 26 Note that when computing the required arrival times in Equations 24 and 26, the value l o is specific to Figure 5. In the general case, l o should be replaced with at C. The previous arrival times and required arrival times induce setup and hold slacks, which can be computed from Equations 7 and 8. 3 Common Path Pessimism Removal CPPR The early-late split-timing analysis has greatly enabled timers to effectively account for any within-chip variation effects. However, this dual-mode analysis inherently embeds unnecessary pessimism, which can lead to an over-conservative design. Consider the example in Figure 6, where we analyze the slack of a typical hold setup test. When we perform our dual-mode analysis, we compare the early late data s arrival time against the late early clock s arrival time. However, along the physically-common portion of the data path and clock path in the clock tree, the signal cannot simultaneously experience the effects accounted for during early and late mode operation, e.g., the signal cannot be both at high voltage and low voltage. s a result, this unnecessary pessimism can lead to tests being marked as failing having negative slack, when in actuality, they should be passing having positive slack. Therefore, this unnecessary pessimism should not be included when reporting final timing results. To the first order, the amount of pessimism for a given test can be approximated by the difference in the early and late arrival times at the common point see Figure 6. However, the common point is found by backwards tracing from the data and clock for the path with the worst slack. In the general case, there can be multiple paths converging at the data input of a flip-flop, and every path will have its own amount of undue pessimism. Therefore, to find the correct credit or slack, we need to take the minimum credit found across all paths. Hold tests. For tests that compare the data point against the clock point for the same cycle, e.g., where data must be stable after the clock signal arrives at the capturing flip-flop, the total 7

Clock Path ata Path CLO Launching FF 1 ata Path Clock Path w 1 w 2 w 3 Combinational Logic Capturing FF 2 Setup Hold Common Point cp Figure 6: Inherent but unnecessary pessimism introduced by the early/late timing split. pessimism incurred is the difference between the early and late arrival times at the common point. That is, any on-chip variation incurred is spatially and temporally the same. For a hold test T hold that has one data path that share common elements and common edges, the amount of credit given back is credit hold = at late cp at early cp 27 where cp is the last point before the data path and clock path diverge see Figure 6. Setup tests. For tests that compare the data point against the clock point in subsequent cycles, e.g., where the data must be stable before the clock signal arrives at the capturing flip-flop, the total pessimism incurred is the summation of the difference of early and late delays up through the common point. While the data and clock path share the same physical components, they are launched at different clock cycles. Therefore, if some on-chip variation, e.g., temperature fluctuation occurs when the data is launched, but does not occur when the data is captured, the pessimism was now necessary, and cannot be removed. For a setup test T setup that has one data path sharing common elements and common edges, the amount of credit given back is credit setup = p P V,E d late p p 28 where P V, E is the physically-common path between the data and clock paths. Here, V is the set of all common circuit elements, and E is the set of all common interconnect. Following the example in Figure 6, the amount of credit for the setup test is credit setup = d late w 1 w 1 +d late +d late w 2 w 2 +d late +d late w 3 w 3 29 Total test credit. s removing pessimism for each test could require investigating multiple paths, the amount of credit per test will be defined as the difference between the post- and pre-cppr test slack. For this contest, we will only consider hold and setup tests. credit setup test = slack setup post CP P R slacksetup pre CP P R 30 credit hold test = slackpost CP hold P R slackpre CP hold P R 31 8

4 Example Consider the following sample circuit in Figure 7, where two data paths feed a common latch F F 3. Using the following timing information, we will determine the CPPR credit for the setup test at F F 3. Please note that this example is used for conceptual understanding only. our implementation should leverage runtime improvements. ssume that all wire delays are zero, and all arrival times are zero. = 20 d late = 25 d late OR2: OR: = d late OR2: OR: = 50 = 10 d late = 30 d late F F 1 : F F 1 : = d late F F 2 : F F 2 : = 40 = 10 d late = 45 T CLO = 120 4 = 10 d late 4 = 30 t setup F F 3 = 30 IN 1 FF 1 Clock Path ata Path 1 ata Path 2 OR2 FF 3 Setup Hold OUT IN 2 FF 2 CLO 4 Figure 7: Example sequential circuit. First, we find the data path slacks at F F 3 :. We do this by comparing the late data arrival time and the late required arrival time at F F 3 :. Using Equation 24 in Equation 32, we obtain slack late F F 3 : = rat late F F 3 : at late F F 3 : 32 slack F F3 : = T CLO + at early F F 3 : tsetup F F 3 at late F F 3 : 33 9

We now find the pre-cppr slacks for each path. Consider ata Path 1 P 1, where its timing is determined by going through the path Using Equations 33 and 23, we obtain: CLO F F 1 OR2 F F 3 slackf late F 3 : P1 = T CLO + 4 t setup d late OR2: OR2: + d late F F 1: F F 1: + d late + d late = 120 + 20 + 10 + 10 30 50 + 40 + 30 + 25 = 15 Similarly, for ata Path 2 P 2, its path has pre-cppr path slack CLO F F 2 OR2 F F 3 slackf late F 3 : P2 = T CLO + 4 t setup d late OR2: OR2: + d late F F 2: F F 2: + d late + d late = 120 + 20 + 10 + 10 30 50 + 40 + 45 + 25 = 30 We now compute the CPPR credit for each path Equation 30. Without loss of generality, we will process paths in order of criticality, i.e., most negative to least negative. Starting with P 2, its common portion with the clock path contains and. Therefore, its credit is credit setup P2 = d late + d late = 25 20 + 45 10 = 40 Similarly for P 1, its CPPR credit can be computed by finding the common portion, i.e., : credit setup P1 = d late = 25 20 = 5 Since the credit obtained from P 1 is smaller, the total credit for the setup test is the minimum of the two, i.e., min40, 5 = 5. The post-cppr path slacks for P 1 and P 2 are: slackf late F 3 : post CP P R P 1 = slackf late F 3 : P1 + credit setup P1 = 15 + 5 = 10 slackf late F 3 : post CP P R P 2 = slackf late F 3 : P2 + credit setup P2 = 30 + 40 = 10 From this example, we make two observations. i The most critical path pre-cppr is not necessarily reflective of the true critical path. This emphasizes the importance of CPPR analysis, and highlights its impact on chip performance. ii uring CPPR, analyzing the single-most critical path can be insufficient. In our example, if we only applied credit according to P 2, the most critical pre-cppr path, we would assume the test slack would be positive, i.e., passing. In actuality, the next-most critical path is still failing. 10

References [1] W. C. Elmore, The Transient Response of amped Linear Networks with Particular Regard to Wide-band mpliers, Journal of pplied Physics, 1911948, pp. 5563. [2] R. Gupta,. Tutuianu and L. T. Pileggi, The Elmore elay as a ound for RC Trees with Generalized Input Signals, IEEE Transactions on Computer-aided esign of Integrated Circuits and Systems, 1611997, pp. 95-104. [3] C. V. Kashyap, C. J. lpert, F. Liu and. evgan, Closed-form Expressions for Extending Step elay and Slew Metrics to Ramp Inputs for RC Trees, IEEE Transactions on Computer-aided esign of Integrated Circuits and Systems, 2342004, pp. 509-516. [4] P. Penfield Jr. and J. Rubinstein, Signal elay in RC Tree Networks, Proc. esign utomation Conference, 1981, pp. 613617. [5] C. L. Ratzlaff and L. T. Pillage, RICE: Rapid Interconnect Circuit Evaluation Using WE, IEEE Transactions on Computer-aided esign of Integrated Circuits and Systems, 1361994, pp. 763-776. 11