A STUDY OF ATOMIC LAYER DEPOSITION AND REACTIVE PLASMA COMPATIBILITY WITH MESOPOROUS ORGANOSILICATE GLASS FILMS

Similar documents
Plasma Deposition (Overview) Lecture 1

CVD: General considerations.

Atomic layer deposition of titanium nitride

A comparison of the defects introduced during plasma exposure in. high- and low-k dielectrics

Film Deposition Part 1

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Chapter 7 Plasma Basic

Chapter 7. Plasma Basics

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Plasma Etching: Atomic Scale Surface Fidelity and 2D Materials

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Fabrication Technology, Part I

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

SUPPLEMENTARY INFORMATION

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Temperature Dependent Current-voltage Characteristics of P- type Crystalline Silicon Solar Cells Fabricated Using Screenprinting

Alternative deposition solution for cost reduction of TSV integration

MICROCHIP MANUFACTURING by S. Wolf

LECTURE 5 SUMMARY OF KEY IDEAS

nmos IC Design Report Module: EEE 112

Device Fabrication: Etch

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Multi-Layer Coating of Ultrathin Polymer Films on Nanoparticles of Alumina by a Plasma Treatment

FRAUNHOFER IISB STRUCTURE SIMULATION

Positron Lifetime Spectroscopy of Silicon Nanocontainers for Cancer Theranostic Applications

Positron Annihilation Spectroscopy - A non-destructive method for material testing -

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

A TIME DEPENDENT DIELECTRIC BREAKDOWN (TDDB) MODEL FOR FIELD ACCELERATED LOW-K BREAKDOWN DUE TO COPPER IONS

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Low-k Dielectrics, Processing, and Characterization

Graphene devices and integration: A primer on challenges

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Repetition: Practical Aspects

H loss mechanism during anneal of silicon nitride: Chemical dissociation

Asymmetrical heating behavior of doped Si channels in bulk silicon and in silicon-on-insulator under high current stress

Agenda. 1. Atomic Layer Deposition Technology

Removal of Cu Impurities on a Si Substrate by Using (H 2 O 2 +HF) and (UV/O 3 +HF)

NITROGEN CONTAINING ULTRA THIN SiO 2 FILMS ON Si OBTAINED BY ION IMPLANTATION

Lecture 8. Photoresists and Non-optical Lithography

Supplementary Figure S1. Verifying the CH 3 NH 3 PbI 3-x Cl x sensitized TiO 2 coating UV-vis spectrum of the solution obtained by dissolving the

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Taurus-Topography. Topography Modeling for IC Technology

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Characterisation of mesopores - ortho-positronium lifetime measurement as a porosimetry technique

The goal of this project is to enhance the power density and lowtemperature efficiency of solid oxide fuel cells (SOFC) manufactured by atomic layer

Impact of Contact Evolution on the Shelf Life of Organic Solar Cells

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

EE115C Winter 2017 Digital Electronic Circuits. Lecture 3: MOS RC Model, CMOS Manufacturing

Defense Technical Information Center Compilation Part Notice

POSITRON ANNIHILATION AS A METHOD

Ambient-protecting organic light transducer grown on pentacenechannel of photo-gating complementary inverter

Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, PA 18195

Ceramic Processing Research

OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Bi-directional phase transition of Cu/6H SiC( ) system discovered by positron beam study

Outlook: Application of Positron Annihilation for defects investigations in thin films. Introduction to Positron Annihilation Methods

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Secondary ion mass spectrometry (SIMS)

POSITRON AND POSITRONIUM INTERACTIONS WITH CONDENSED MATTER. Paul Coleman University of Bath

Patterning Challenges and Opportunities: Etch and Film

ETCHING Chapter 10. Mask. Photoresist

SIMULATION OF POROUS LOW-k DIELECTRIC SEALING BY COMBINED He AND NH 3 PLASMA TREATMENT *

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Development of void-free focused ion beam-assisted metal deposition process for subhalf-micrometer high aspect ratio vias

EE C245 ME C218 Introduction to MEMS Design Fall 2007

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

Chemical Vapor Deposition (CVD)

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Real-Time Chemical Sensing for Advanced Process Control in ALD

JOHN G. EKERDT RESEARCH FOCUS

Competitive Advantages of Ontos7 Atmospheric Plasma

SUPPLEMENTARY INFORMATION

Thin Wafer Handling Challenges and Emerging Solutions

Reduced preferential sputtering of TiO 2 (and Ta 2 O 5 ) thin films through argon cluster ion bombardment.

MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS

Section 3: Etching. Jaeger Chapter 2 Reader

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Accelerated Neutral Atom Beam (ANAB)

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling

High Temperature Water Clean and Etch Reactions with Low-k and SiO 2 Films: Experiments and Simulations

M R S Internet Journal of Nitride Semiconductor Research

Technology for Micro- and Nanostructures Micro- and Nanotechnology

DOE WEB SEMINAR,

Surface and Interface Analysis. Investigations of Molecular Depth Profiling with Dual Beam Sputtering. Journal: Surface and Interface Analysis

Effects of Ultraviolet Exposure on the current-voltage characteristics of. high-k dielectric layers

Resistance Thermometry based Picowatt-Resolution Heat-Flow Calorimeter

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

High-density data storage: principle

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Tilted ion implantation as a cost-efficient sublithographic

Transcription:

A STUDY OF ATOMIC LAYER DEPOSITION AND REACTIVE PLASMA COMPATIBILITY ITH MESOPOROUS ORGANOSILICATE GLASS FILMS E. Todd Ryan*, Melissa Freeman, Lynne Svedberg, J.J. Lee, Todd Guenther, Jim Connor, Katie Yu, Jianing Sun, David. Gidley * Advanced Micro Devices, AMD Place, Sunnyvale, CA 94088 Motorola, APRDL, 350 Ed Bluestein Blvd., Austin, TX 7872 University of Michigan, Department of Physics, Ann Arbor, MI, 4809 ABSTRACT The compatibility of ALD and CVD metal deposition with mesoporous and microporous carbon-doped organosilicate glass (OSG) films was examined. Blanket film studies using TEM, TOF-SIMS, and positron lifetime spectroscopy demonstrate that ALD and TaN penetrate deep into the mesoporous film via the film s connected pore structure. In contrast, metal penetration into microporous OSG films was not observed. He and NH 3 plasma pretreatments to the mesoporous OSG film surface did not seal the mesopores to ALD/CVD metal penetration, but the plasmas did damage the bulk of the mesoporous OSG film with varying severity. The results indicate that porosity, pore size, and/or pore structure regulate ALD/CVD precursor diffusion and that ALD metal deposition is a good probe of pore sealing strategies. INTRODUCTION Low dielectric constant (low-κ) films and barrier metal deposition by atomic layer deposition (ALD) and chemical vapor deposition (CVD) are rapidly developing technologies for sub-90nm integrated circuit (IC) generations. However, if the low-κ film is mesoporous (2-50nm diameter pores), difficulties in integrating these technologies will be encountered because the highly conformal CVD and ALD monolayer deposition processes can coat the pore walls or leave metal precursors inside the mesopores. This is especially true if the mesopores are interconnected throughout the film. Barrier materials around copper lines are necessary for good interconnect reliability. The barrier metals commonly used have a resistivity higher than that of copper. As copper lines scale smaller with each IC technology generation, the thickness of barrier layers must scale thinner. Otherwise, the total line resistance increases to unacceptable levels. The semiconductor industry is reaching the limits of physical vapor deposition (PVD) for barrier metals because it is difficult for PVD to deposit sufficiently conformal metal liners in high aspect ratio features. Thus CVD and ALD are attractive alternatives because they enable the deposition of very thin conformal metal layers. Films as thin as one monolayer can be deposited by ALD 2. However, it is this feature of ALD that makes it problematic on mesoporous films. If the pores are large enough to allow easy diffusion of the CVD or ALD precursors, then metal can deposit inside the pores throughout the mesoporous film, or the precursors can get trapped inside the pores. Some reactive precursors may also damage the dielectric film. Thus, barrier metal deposition onto porous films must be better understood. EXPERIMENTAL

The mesoporous OSG film, OSG-, is a proprietary spin-on mesoporous methylsilsesquioxane (MSQ)-like film with κ = 2.3. Its porosity is about 50%. The average pore diameter is ~2.5 nm and the pores are essentially fully interconnected to the surface of the film, as determined by positronium annihilation lifetime spectroscopy (PALS). OSG-2 and OSG-3 are proprietary PECVD OSG films with κ ~ 3.0 and ~ 2.7, respectively. OSG-2 is microporous (<2nm pore diameter) with non-connected pores. Although OSG-3 has not been rigorously characterized, it is similar to OSG-2 but with lower density. ALD metal films (25-50A) were deposited by various sources onto OSG films that were ~3000A thick. The film precursors were F6 and another proprietary inorganic precursor for, TDMAT and NH 3 for CVD TiN, and a proprietary organometallic precursor for TaN. Ammonia and helium plasma pretreatments to seal the OSG films from metal penetration or reactive gases were conducted in a commercial PECVD tool at 350 o C (setpoint). TOF-SIMS depth profiles for negative secondary ions were collected with a 25 kev Ga + analytical ion beam alternated with a and 3 kev Cs + sputter beam. Frontside TOF-SIMS profiles were collected by sputtering through the metal on the OSG film and into the OSG film itself. Backside TOF-SIMS profiles were collected by sputtering from the bottom of the OSG film through the metal film on top. Backside profiles were preferred because they minimized sputtering artifacts at the metal/osg interface, but sample preparation problems sometimes prevented backside profile collection. Crosssectional TEM samples were prepared using standard methods, and the PALS experimental details are described elsewhere. 3 RESULTS AND DISCUSSION Figure shows an XTEM image of ALD (50A) deposited on an untreated, blanket film of mesoporous OSG-. The intensity gradient (darker indicates a metal) shows that penetrated deep inside the OSG- film. A backside LESIMS depth profile of the same sample (not shown) demonstrates that penetrated the entire OSG- film thickness down to the substrate. CVD TiN (40A) showed similar behavior, but TiN did not extend through the entire thickness of OSG-. Frontside TOF-SIMS depth profiles of ALD (50A) on the microporous OSG-2 film (Figure 2) show no penetration into the OSG-2 beyond that expected from smearing of the /OSG interface by sputtering. Backside profiles on this sample could not be obtained due to sample preparation problems, so some penetration at the interface cannot be ruled out. However, penetration is clearly less severe than in the mesoporous OSG-. Frontside TOF-SIMS on the microporous OSG-3 with ALD were Figure. An XTEM image of ALD deposited onto an untreated blanket film of the mesoporous OSG-. Penetration OSG- ALD nearly identical to the OSG-2 data despite its lower density. Collectively, these data indicate that porosity, pore size, and/or pore structure (connected versus isolated pores) control the diffusion of ALD/CVD deposition precursors into the bulk of the OSG films. However, the influence of these properties could not be independently determined from this study. Previous studies have shown that the reactive species in plasmas can densify and chemically modify the surfaces of OSG

Figure 2. Frontside TOF-SIMS depth profile of the untreated blanket OSG-2. OSG-2 is a microporous film, and TOF-SIMS does not indicate significant penetration other than that expected from sputtering artifacts. Intensity 00000 0000 000 00 0 O 2 Si 2 C 2 O 0 200 400 600 800 Sputter Time (s) films. 4-8 In blanket films, these modified interface layers are caused by both ion bombardment and chemical modification. The formation of a dense interface layer suggests that plasma pretreatments (PPT) may seal the porous dielectric film and prevent metal penetration by ALD or CVD metal deposition. Such pore sealing behavior has been reported for a microporous OSG film. 9 Chemical modification can also make ALD deposition more efficient. The effects of PPT on blanket films are not representative of those on patterned wafers because there is less ion bombardment to the sidewall in patterned wafers due to bias-induced directionality. Thus, if the PPT cannot seal a blanket film to metal penetration, the sidewall in patterned wafers is not expected to be sealed. A TEM of a single damascene trench in OSG- with ALD deposition (Figure 3) shows that our baseline etch and ash processes did not seal the sidewall and that penetrated deep into the OSG- film. Unfortunately, PPTs can severely damage the bulk of mesoporous OSG films. 4-9 An O 2 plasma exposure is known to damage as-deposited OSG films, 4,6 but the effect of PPTs on mesoporous and microporous O 2 ash-treated OSG films is markedly different. An NH 3 PPT forms a dense, nitridated layer (pretreatment layer) on the microporous OSG films that is limited to the surface because its growth is very slow. This pretreatment layer protects the microporous OSG films from subsequent O 2 plasma exposure. In contrast, the pretreatment layer is not limited to the film interface on mesoporous OSG films because its growth is rapid. For example, a 30s NH 3 PPT forms an ~80 nm pretreatment layer on OSG-; yet this thick layer does not prevent further damage to OSG- by subsequent O 2 plasma exposure. 4 Despite the damage it causes to OSG-, an NH 3 PPT was chosen for our feasibility study to seal the mesoporous OSG- to ALD metal penetration because it is a well characterized process. A He PPT was also used because it is less damaging to the OSG- film. Neither the He nor NH 3 plasma pretreatments (ranging from 5-30s) sealed OSG- to ALD penetration. TEM images suggest that the PPTs lessened the severity of penetration but did not prevent it. Figure 4 shows a backside TOF-SIMS of a sample with ALD Figure 3. A TEM image of a single damascene trench in OSG- with a 50A ALD barrier layer. ALD clearly penetrates deep into the OSG- film, showing that the etch/ash processes did not seal the trench sidewall. OSG- TEOS ALD SiN ALD Trench

deposited on OSG- with a 30s NH 3 PPT. The data clearly show that still penetrated OSG- all the way to the bottom substrate. The He PPT produced similar results, and the penetration Figure 4. Backside TOF-SIMS depth profile of through the pretreatment layers is consistent the OSG- film with a 30s NH3 pretreatment with continued damage to the OSG- film by O 2 prior to ALD deposition shows that the PPT plasmas despite the presence of the pretreatment does not stop penetration. layer. PALS analysis provides some insight 00000 O into the results presented above. PALS 0000 Si capabilities for measuring pore size, pore 000 C connectivity, and the continuity of barrier films have been reported previously. 3,0- Intensity 00 0 O 0 200 400 600 Sputter Time (s) Briefly, implanted positrons form positronium (Ps) preferentially in the pores of porous films. Ps in vacuum annihilates into gamma rays in 42ns, and the lifetime of Ps inside porous films is proportional to the average pore size. If the pores are connected to the surface of the film, Ps can diffuse out of the film, making PALS a good probe of pore connectivity and the continuity of barriers on porous films. Positrons implanted into a film similar to OSG- with and without PPTs showed no Ps escape from the film, indicating that the pretreatment layer seals the OSG film to Ps diffusion 5. However, for samples with ALD deposited onto OSG- with or without PPTs, the Ps lifetime was very short. This result is consistent with metal in the pores quenching Ps. Based upon previous results 5 we expect that the pretreatment layer will block Ps diffusion out of OSG- but it clearly does not block diffusion into the film. This is presumably because the Ps lifetime is so short compared to the ALD precursor exposure time. The ALD precursors have a much longer time to find diffusion paths into the OSG- film. These results suggest that the PPT may ) collapse most of the pores in the pretreatement layer but leave some open and/or 2) clog the pores enough to stop Ps diffusion but not F 6 /SiH 4 diffusion. Film shrinkage during the PPTs suggests that option 2 alone is insufficient to account for the results; a combination of ) and 2) is more likely. It is also possible that corrosive byproducts of the ALD reaction helps prevent pore sealing. The effect of corrosive byproducts requires more study but since the PPTs do not seal the OSG- film to oxygen radicals from an ash, we did not expect it to seal OSG- to small inorganic ALD precursors despite any influence of byproducts. The results indicate that preventing Ps escape is a necessary but not sufficient requirement for pore sealing and that metal ALD can demand even more stringent requirements on interfacial pore sealing and the continuity of liners on porous films. Figure 5 shows frontside TOF-SIMS profiles of samples with varying thickness of TaN deposited on OSG-. Even one ALD cycle deposits TaN throughout the OSG- film. ith more ALD cycles, a TaN concentration gradient develops with more TaN at the top surface of the film. The TaN concentration at the OSG-/substrate interface, however, does not change. Sputtering effects during TOF-SIMS cannot completely account for this profile, and TEM and PALS confirm that there is a gradient in the film. Since the TaN concentration changes little toward the bottom substrate, the data suggest that TaN fills or clogs pores so that precursor diffusion becomes limited after the first few ALD cycles. Positrons were also implanted to various depths into OSG- samples with varying thickness of ALD TaN (Figure 6). Based on previous results from studies with Al, Cu, and diffusion into

mesoporous films, a very short Ps lifetime was expected due to metal in the pores accelerating Ps annihilation. Surprisingly, after all samples were capped with oxide, Ps lifetimes (46ns - 48ns) Figure 5. Frontside TOF-SIMS depth profile of the OSG- film with varying cycles (thickness) of ALD TaN deposited on top. Intensity 00000 0000 000 00 0 50 cycles 50 cycles 20 cycles 0 cycles 5 cycles 3 cycles cycle cycle control Control: 0 cycles 0 200 400 600 800 Sputter time (s) Figure 6. Intensity of Ps formed in OSG- samples (F Ps ) with varying ALD TaN cycles on top normalized to the intensity (F degas ) of Ps formed in the control sample (no TaN on top). FPs/Fdegas 0.8 0.6 0.4 0.2 0 40ns only 0 0 20 30 40 50 ALD Cycles 2 kev 3 kev 5 kev 8 kev 40ns + 26-35ns 46ns only characteristic of the pristine mesopores in the control sample were observed. The intensity of Ps, however, decreased with increasing ALD deposition cycles. The decrease in intensity indicated that there was less mesopore volume in which Ps could form, consistent with the explanation that some, but not all, pores were filled or lined with metals. In samples not capped with oxide, Ps escapes from all but the 50 cycle sample (40ns component). The second, shorter lifetime component (26-35 ns) that appears in the uncapped samples with more than 0 cycles indicates that Ps out-diffusion is frustrated, consistent with partial (but not complete) pore clogging preferentially near the film surface. The Ps lifetime (Figure 6), which is nearly characteristic of the pristine mesopores regardless of the number of ALD cycles, suggests at least three possibilities: ) some pores have no TaN in them, or 2) they are lined with a non-conducting Ta x N y stoichiometry or oxidized Ta x N y O z (the samples were exposed to air), or 3) some combination of the two. Note that a conductive metal lining would shorten the Ps lifetime dramatically. If there are small necks in the connected pore structure that are quickly clogged, then this could isolate some portions of the mesoporous network from ALD precursor diffusion and leave these portions pristine. If the ALD TaN is deposited (in an oxidized or non-conducting form) on the walls of all the mesopores then the lining must be less than about 2.5A (about 5 cycles), otherwise we would observe a shorter Ps lifetime (assuming the lining does not change the probability of Ps annihilation upon collision). However, the lifetime does not change even after 50 cycles. This observation along with the lower Ps intensity in the 50 cycle sample suggests that portions of the mesoporous network are filled/clogged while other portions are pristine or have at most a thin coating on the walls. This would not affect the Ps lifetime if the filled/clogged sections are separated by at least one pore diameter (Ps mean free path). Pore clogging may occur at small necks in the mesoporous network or perhaps due to uneven nucleation (even in the absence of necks) in the mesoporous network, but the PALS data cannot conclusively distinguish these possibilities. 2

CONCLUSION The results of this study illustrate the difficult challenge of integrating ALD barriers with mesoporous OSG films. Increasing porosity, pore size, and/or pore connectivity facilitate the diffusion of reactive species that can damage the low-κ film or deposit metal inside the film. Plasma pretreatments to the surface of one mesoporous film did not seal it from ALD metal penetration, and the pretreatment itself damaged the film. In contrast, significant pretreatment damage or ALD metal penetration into microporous films were not observed. Larger pore size, porosity, and/or interconnected pores presumably prevent pore sealing in mesoporous OSG films unless the pretreatment can deposit additional material into the pore. Optimized pretreatment conditions and larger ALD precursor molecules may eventually seal mesoporous OSG films to ALD metal deposition. CVD TiN is less conformal than ALD, and TiN does not penetrate the mesoporous film as much as ALD. Thus, a plasma pretreatment may seal a mesoporous OSG film to CVD metals, but this combination was not examined. This study also demonstrates the value of ALD as a monitor of pore sealing methods. Pore sealing with plasma pretreatments may be difficult to do without bowing etch profiles, keeping the sealing layer confined to the interface, or creating other integration problems. This is especially true if porosity, pore size, pore connections increase for lower κ films. Non-porous liner films could be a solution. However liners may be difficult to integrate, may raise manufacturing costs, and may increase the line-to-line capacitance, thus minimizing the benefit of using a low-κ film. Non-conventional integration and film processing approaches may be necessary to successfully integrate ALD barriers with mesoporous OSG low-κ films. REFERENCES... Lee and P.S. Ho. MRS Bulletin, 22,9 (997). 2. S.M. Rossnagel and H. Kim, Proceedings of the IEEE 200 International Interconnect Technology Conference, 200, 3-5. 3. D.. Gidley,.E. Frieze, T.L. Dull, A.F. Yee, H.M. Ho, and E.T. Ryan. Phys. Rev. B, 60(8), R557 (999). 4. E.T. Ryan, J. Martin, K. Junker, J. etzel, J.N. Sun, and D.. Gidley. J. Mat. Res. 6(2), 3335 (200). 5. J.-N. Sun, D.. Gidley, Y. Hu,.E. Frieze, E.T. Ryan. Appl. Phys. Lett. 8(8), 447, 2002. 6. E.T. Ryan, J. Martin, K. Junker, J.J. Lee, T. Guenther, J. etzel, S. Lin, D.. Gidley, J. Sun. Proceedings of the IEEE 2002 International Interconnect Technology Conference, 2002, 27. 7. T.C. Chang, P.T. Liu, Y.S. Mor, S.M. Sze, Y.L. Yang, M.S. Feng, F.M. Pan, B.T. Dai, and C.Y. Chang, J. Electrochem. Soc. 46(0), 3802 (999). 8. P.T. Liu, T.C. Chang, Y.L. Yang, Y.F. Cheng, and S.M. Sze, IEEE Trans. Elect. Dev. 47(9), 733 (2000). 9.. Besling, et al. Proceedings of the IEEE 2002 International Interconnect Technology Conference, 2002, 288; T. Abell, et al. AMC 2002, to be published. 0. J.N. Sun, D.. Gidley, T.L. Dull,.E. Frieze, A.F. Yee, E.T. Ryan, S. Lin, and J. etzel. J. Appl. Phys., 89(9), 538 (200).. D.. Gidley,.E. Frieze, T. L. Dull, J.N. Sun, and A.F. Yee. Mater. Res. Soc. Symp. Proc. 62, 2000, D4.3..

2. A detailed analysis of the PALS data is in progress for a later publication.