Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Similar documents
Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Development of Lift-off Photoresists with Unique Bottom Profile

High Optical Density Photomasks For Large Exposure Applications

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

Photolithography II ( Part 1 )

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Introduction. Photoresist : Type: Structure:

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Copyright 2003 by the Society of Photo-Optical Instrumentation Engineers.

520/ Photolithography (II) Andreas G. Andreou

Lecture 8. Photoresists and Non-optical Lithography

Photolithography 光刻 Part II: Photoresists

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

SCI. Scientific Computing International. Scientific Computing International. FilmTek. Raising Thin Film Metrology Performance to a New Level

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Copyright 2004 by the Society of Photo-Optical Instrumentation Engineers.

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

Chromeless Phase Lithography (CPL)

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

Silicon VLSI Technology. Fundamentals, Practice and Modeling

Optical Proximity Correction

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Photosensitive polyimides without side chain: negative-tone reaction development patterning

NSR-2205i14E (6" Reticle Type)

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Supporting Information

Resist material for negative tone development process

Advanced Texturing of Si Nanostructures on Low Lifetime Si Wafer

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Composition and Photochemical Mechanisms of Photoresists

Recent progress in nanoparticle photoresist development for EUV lithography

Supplementary Figure 1. Cross-section SEM image of the polymer scaffold perovskite film using MAI:PbI 2 =1:1 in DMF solvent on the FTO/glass

Supplementary Figures

Supplementary Information Effects of asymmetric nanostructures on the extinction. difference properties of actin biomolecules and filaments

Transformations of Oxidized Multiwalled Carbon Nanotubes Exposed to UVC (254nm) Irradiation. Supporting Information

Direct write electron beam patterning of DNA complex thin films

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Modeling Solvent Effects in. Optical Lithography

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Effects of -Ray Irradiation on Colour and Fluorescence of Pearls

DUV ( nm ) Characterization of Materials: A new instrument, the Purged UV Spectroscopic Ellipsometer,

= n. Psin. Qualitative Explanation of image degradation by lens + 2. parallel optical beam. θ spatial frequency 1/P. grating with.

Electron-beam SAFIER process and its application for magnetic thin-film heads

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Supplementary Information

CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES

Solar irradiance measurement up to 2500nm with the Arcoptix FT-NIR

Polymer Matrix Effects on EUV Acid Generation

Regular Reflectance and Transmittance Measurements of Transmissive Materials Using a STAR GEM Optical Accessory

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Fabrication of micro-optical components in polymer using proton beam micro-machining and modification

Lecture 14 Advanced Photolithography

Supporting Information s for

Ultraviolet LEDS as a Source of Emission for Resist Exposure on Printed Circuit Boards

Anomalous production of gaseous 4 He at the inside of DScathode during D 2 O-electrolysis

Direct Evaluation Method of UV Curing Process on the Basis of Conductivity Change of Photopolymerization Materials

Takeo Watanabe Center for EUVL, University of Hyogo

Behavior of candidate organic pellicle materials under 157 nm laser irradiation

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

From co-crystals to functional thin films: photolithography using the [2+2] photodimerization

Automatic Classification and Defect Verification Based on Inspection Technology with Lithography Simulation

Supplementary Figure S1. Verifying the CH 3 NH 3 PbI 3-x Cl x sensitized TiO 2 coating UV-vis spectrum of the solution obtained by dissolving the

n ( λ ) is observed. Further, the bandgap of the ZnTe semiconductor is

1. Waves and Particles 2. Interference of Waves 3. Wave Nature of Light

Supporting Information

Any first year text, sections on atomic structure, spectral lines and spectrometers

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

Full-color Subwavelength Printing with Gapplasmonic

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Two-Photon Fabrication of Three-Dimensional Metallic Nanostructures for Plasmonic Metamaterials

Magnetoresistance Oscillations in Thin Inclined Magnetic Films

Planck's "quantum of action" and external photoelectric effect (Item No.: P )

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Top down and bottom up fabrication

Analysis of Thermal Decomposition Behavior of Coals Using High Temperature Infrared Spectrophotometer System

Technology offer: Environmentally friendly holographic recording material

Current development status of Shin-Etsu EUV pellicle

The Identification of Chemical Species of Silica in Sodium Hydroxide, Potassium Hydroxide and Sodium Chloride Solutions by FAB-MS

AC-829A. Issued on Apr. 15 th 2013 (Version 1.0)

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Reprinted from February Hydrocarbon

Evaluation at the intermediate focus for EUV Light Source

Evidence for Covalent Bonding of Aryl Groups to MnO 2 Nanorods from Diazonium-Based Grafting

Gratings in Electrooptic Polymer Devices

Current Status of Inorganic Nanoparticle Photoresists

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

Rational design of light-directed dynamic spheres

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Supporting Information. for. Advanced Materials, adma Wiley-VCH 2008

Improvement of Electromigration Lifetime in Al-Si-Cu/Ti/TiN/Ti Layered Interconnects

A Parameter Extraction Framework for DUV Lithography Simulation

Characterization of Optical Proximity Correction Features

Effects of Chrome Pattern Characteristics on Image Placement due to the Thermomechanical Distortion of Optical Reticles During Exposure

Transcription:

Study on Improved Resolution of Thick Film Resist (Verification by Simulation) Yoshihisa Sensu, Atsushi Sekiguchi, Yasuhiro Miyake Litho Tech Japan Corporation 2-6-6 Namiki, Kawaguchi, Saitama, 332-0034, Japan sensu@ltj.co.jp Abstract In the past there have been almost no analyses of mechanisms to achieve higher resolutions and higher aspect ratios in thick-film resist processes. In this work, the authors measures the effects of water in a thick-film resist on indenecarboxylic acid generation in thick-film resist image formation, and studies the mechanism of resolution enhancement through hydration processes. In addition, a development rate measurement system, a system for analysis of resist reactions during exposure, and lithography simulations are employed to simulate profiles in thick-film resists. Calculation results are then compared with actual resist profile. Specifically, a diazonaphthoquinone (DNQ)-novolac positive thick-film resist is used; after coating to a thickness of 22 µm and pre-baking, the sample is subjected to dehydration in a vacuum desiccator, samples are prepared both immersed in Water and without such immersion, and photochemical reaction rates are measured during exposure, while also measuring development rates. From the measured results for photochemical reaction rates of the resist during exposure, the state of indenecarboxylic acid generation can be determined. The development rate data is inputted to the SOLID-C lithography simulator, thick-film resist simulations are executed, and the results were compared with actual SEM observations of resist profiles. From the results of analyses of photochemical reactions during exposure, it is confirmed that differences in water quantity in the resist affect the generation of indenecarboxylic acid. Simulation results also confirms that by immersing the resist in water, the resolution is enhanced. The general trends of simulation results and actual patterning are in agreement, and it is concluded that in thick-film resist, resolution is improved when water is present in the resist in sufficient quantities for indenecarboxylic acid generation. Keywords : Thick-film resist, Indenecarboxylic acid, Development rate measurement system,

Photochemical reaction rates, Lithography simulations, Immersed in Water 1. Introduction In recent years thick-film resists with higher resolution and higher aspect ratio have been sought, for use in micromachining and in hard disk and IC bump process. Consequently there is mounting interest in new processes to enhance resolution in thick-film resist processes. For these reasons, novel processes have been studied in the interest of improving the resolution of thick-film resist processes. In the past, Shibayama[1] reported on the effect of water on photochemical reactions in positive-type resists. However, there have been few analyses performed on mechanisms by which resolution and aspect ratios are increased in thick-film resist processes. In this study, we have focused on the effects of water in thick-film resist, and conducted analyses of photochemical reactions in thick resist films as well as measurements of development rate characteristics, in order to study the effect of water contained in the resist on the resolution. The results of simulations were compared with actual resist profiles observed by SEM. 2. Measurement and Analysis System Fig. 1 shows the configuration of the equipment used in this study. In order to measure and analyze reactions in resist during exposure, an ABC analyzer [2] for measurement of the A, B and C parameters of the resist, and a PAGA-100 system [3] for analysis of photochemical reactions during exposure, were employed. To measure development rates and perform resist profile simulations, an RDA system [4] for resist development rate measurement was used, and the SOLID-C software [5] was used to calculate resist profile. These measurement systems and the software are described below. 2-1. Measurement and analysis of during exposure (1) Resist A, B and C analysis equipment: This consisted of hardware to measure exposure doses and transmittance, and software to calculate the A, B and C parameters [2]. (2) Equipment to analyze resist reactions during exposure: This consists of hardware including an FT-IR spectrometer equipped with an exposure system in the measurement chamber, and software to analyze changes in functional group absorbance with exposure reactions based on spectral data obtained as a function of exposure time [3]. 2-2. Development rate measurements and resist profile simulations (1) Development rate measurement equipment: This consists of hardware for measurement of reflectivity as development proceeds, and software to calculate development rates [4]. Development rates are calculated in advance for a number of exposure doses. By this means, the development rate R

for a given exposure dose E can be determined, and R(E) data can be calculated. This R(E) data is inputted into a resist profile simulation software. (2) Resist profile simulation software: The optical intensity of light passing through the projection lens of the exposure system and focused in the resist film is calculated, and this optical intensity data and development rate data are used by the software to calculate resist profiles. In calculation of the optical intensity, light passing through the aperture of a chrome pattern on a reticle is diffracted by the chrome patterns. Some of this diffracted light cannot pass through the lens due to the constraint of the lens NA. Thus, the optical intensity distribution after passing throught the lens is degraded in comparison with the ideal intensity distribution.the optical intensity after passing through the lens is calculated using partially-coherent image-formation theory [6]. The optical intensity is calculated at a position within the resist film, and the optical intensity at a given position within the resist film can be computed. That is, data sets E(X,D) relating the depth D within the resist film, the resist lateral-direction position X, and the optical intensity E are photochemical reactions created. From this optical intensity data E(X,D) within the film and the R(E) data measured using the development rate measurement equipment, the development rate distribution can be calculated at a given position within the resist film. By using the cell removal model [7] for the development simulation with this development rate distribution R(X,D), the resist profile can be calculated for a given development time. 3. Experimental Conditions 3-1. Sample conditions Samples were prepared at a temperature of 24.4 C and at 31% humidity. Fig. 2 shows the photochemical reactions flow of the sample preparation. The diazonaphthoquinone (DNQ)-novolac positive thick-film resist (manufactured by Tokyo Ohka Kogyo) was coated to a Si substrate to a thickness of 22 µm. Proximity baking was used for 7 minutes at 110 C. Next, samples were placed for 1 hour in a vacuum desiccator, and after dehydration were immersed in water at 15 C. The immersion time was either 0 or 30 minutes. 3-2. Measurement of resist A, B and C parameters and resist photochemical reactions during exposure To measure the resist A, B and C parameters, a quartz substrate was used to prepare samples with water immersion times of 0 and 30 minutes, and an ABC analyzer [2] was used to measure changes in transmittance with exposure time. The g-line (436 nm) was selected for the exposure wavelength; the exposure time was 600 s. The PAGA-100 system [3] was used for measurements of resist photochemical reactions during exposure. The exposure was carried out at g-line for 600 s. In

order to measure the amount of indene ketene generated, analysis was performed using azo-bond absorption at 2150 cm -1 ; measurement of the amount of indenecarboxylic acid generated employed carboxylic acid absorption at 1705 cm -1. 3-3. Development conditions and development rate measurements Using samples prepared with Water immersion times of 0 and 30 minutes, development rates were measured with the RDA system [4]. A g-line lamp was used to vary the exposure dose for each samples. Development was performed by the dipping method using the TMAH developer (Tokyo Ohka Kogyo) at 23 C. Development rate measurements were performed at the monitoring wavelength of 950 nm. 3-4. Resist profile simulations Development rate data was inputted into the SOLID-C resist profile simulator [5], and simulations were run. The NA was 0.26 and coherence factor 0.6. The resist line width was varied from 4 µm to 10 µm (Line:Space = 1:2). The exposure dose was 5000 mj/cm 2 for samples without immersion, and was 2000 mj/cm 2 for an immersion time of 30 minutes. Calculations were performed with the best focus. The resist profile, resist line width, resist side wall angle, and resist loss from the top part of the resist profile were calculated. 3-5. Actual resist profile An exposure system, Titan model manufactured by Ultratech, was used (NA 0.26, coherence factor 0.6); dip-development was performed for 7 minutes using a TMAH developer (23 C). Resist line widths were between 4 µm and 10 µm (Line:Space = 1:2). The optimum exposure dose Eop, which gives the nominal feature width, were 5000 mj/cm 2 for no immersion, and 2000 mj/cm 2 for an immersion time of 30 minutes. Calculations were performed with the best focus. The resist profile, resist line widths, and resist residue were observed were observed with the SEM. 4. Experimental Results and Discussion 4-1. Results of resist A, B and C characteristics and resist photochemical reactions during exposure Fig. 3 shows the scheme of photochemical reactions in diazonaphtoquinone (DNQ)-novolac resists. Exposure causes the diazonaphtoquinone in diazonaphtoquinone (DNQ)-novolac resist to change to indene ketene, which combines with water in a hydrolysis reaction to produce indenecarboxylic acid, which dissolves in an alkaline developer. Fig. 4 shows the IR spectrum during exposure. Fig. 5(a) shows changes in azo-bond absorption

at 2150 cm -1 indicating the dissociation of diazonaphthaquinone, and Fig. 5(b) shows changes in carboxylic acid absorption at 1705 cm -1 indicating production of indenecarboxylic acid, both plotted against exposure time. The 2150 cm -1 absorbance indicating diazonaphtoquinone decreases with exposure. This may be attributed to the dissolution through exposure of diazonaphtoquinone, a photosensitive material, to produce indene ketene. On comparing samples with or without immersion in Water, the reaction reached saturation in both at an exposure time of abut 600 s. Focusing on this 600 s exposure time, whereas the normalized absorbance on immersion is 0.95, for samples not immersed in water it drops to 0.85. This is considered to have occurred because of the difference in water content within the resist film results in different amounts of indenecarboxylic acid production. For exposure times of from 0 to 150 s, immersion in water is also seen to result in more rapid reaction, with an inflection point occurring after 150 s of exposure for immersed samples, versus 250 s of exposure for samples without immersion. In this way, the exposure times for production of indene ketene and for production of indenecarboxylic acid nearly coincide, so that it is inferred that the reaction to produce indenecarboxylic acid from indene ketene proceeds almost instantaneously. Fig. 6 shows the results of measurements of the resist A, B and C characteristics. The resist transmittance hardly changes at the start of exposure to about 100 s, and rises after that. Without immersion in water, the amount of change in transmittance about 500 s after the start of exposure is decreased, and is thought to be near the maximum value. With immersion in water, the transmittance is reaches maximum at about 200 s, and immersion in water is seen to result in a sharp rising transmittance curve. This may be due to a difference in the rate of production of indenecarboxylic acid as in the results of analysis of resist photochemical reactions during exposure in Fig. 5 With immersion in water, the maximum value of the transmittance is 0.73, versus 0.68 without immersion; as with the results of measurements of resist photochemical reactions, differences in water content within the resist film are thought to have caused differences in the amount of production of indenecarboxylic acid. Further, With immersion in water the transmittance declines after reaching maximum value; this is due to the gradual progress of turbidity after the resist transmittance has peaked (after bleaching has nearly completed). The cause of this turbidity is thought to be the emission of nitrogen from the resist upon production of indene ketene by the diazonaphtoquinone, creating numerous pinholes when the gas escapes from the resist surface. ABC parameter was A=0.1791, B=0.0225, C= 0.0203 at without immersion in water,a=0.1099, B=0.0438, C=0.0528 at with immersion in water. 4-2. Results of resist development rate measurements Fig. 7(a) shows resist development characteristics. The resist sensitivity (Eth) was 6330 mj/cm 2 with no immersion, and was 1170 mj/cm 2 with immersion at 420sec development, for higher sensitivity in samples immersed in water. Fig. 7(b) shows contrast data, the slope (tan θ) of the

dissolution rate curve, which serves as one index of resolution, was 1.16 for immersed samples and 0.59 for samples without immersion. Therefore contrast was improved by immersion in water. These results are thought to indicate that differences in water content in the resist films appeared as differences in the amounts of production of indenecarboxylic acid soluble in alkali solution, and so influencing the sensitivity and contrast. 4-3. Results of resist profile simulations Fig. 8 shows the results of resist profile simulations. With no immersion in water was not resolved, while for those immersed in water the resolution was 4 µm, so that immersion resulted in higher resolution. As Fig. 9 indicates, when samples were immersed in water, the side wall angle of the resist profile was more close to 90 degrees and Fig. 10 shows that the resist loss in the top part of the resist profile was reduced for samples immersed in water (side wall angle measurement point was at the middle of resist depth). Thus immersion in water was found to be advantageous in terms of resolution, verticality of the resist profile. These differences comes from the difference in contrast resulting from the resist development characteristics. 4-4. Results for actual resist profile Fig. 11 shows the results of SEM observations of actual resist profiles, compared with simulation results. For samples immersed in water, resolution was 4 µm for a 2000 mj/cm 2 dose. However, without immersion in water, resist remained in the bottom part of the profile, and was not resolved. This is attributed to the lack of sufficient water content in the resist film, which results in inadequate indenecarboxylic acid production for resolution. Therefore the immersion in water resulted in higher resolution. With respect to the side wall angles of the resist profile, when samples were immersed in water, the side wall angle of the resist profile was more close to 90 degrees ; also, resist loss at the top part of resist profile was reduced for samples immersed in water. Thus immersion in water was found to be advantageous for resolution, for verticality of the resist profile. This is attributed to differences in contrast due to the resist development characteristics. The general trends were in good agreement with the results of the resist profile simulations. 5. Conclusion The effect of water content in the film on raising the resolution of diazonaphtoquinone (DNQ)-novolac positive thick-film resist was confirmed through experiments, and the underlying mechanism was studied. From simulation results, it was confirmed that by immersing the resist in water the resolution is improved. The trends of the actual resist imaging were found to be in agreement with the simulation results, confirming that simulations can be applied to thick-film resist processes,

too. It was further confirmed that immersion of resist in water results in improved resolution. It is thought that water in the resist affects the generation of indenecarboxylic acid, resulting in higher resolution. It was confirmed that techniques to control the water content in thick-film resists are needed as a means of enhancing resolution. 6. Acknowledgements The authors would like to thank Mr. Koji Saito, Mr. Kouichi Misumi of Tokyo Ohka Kogyo for providing resist materials and SEM observations, Mr. Masatoshi Hoshino of Ultratech for cooperation in resist patterning, and Dr. Christian K. Kalus of SIGMA-C for providing us with the SOLID-C simulation software. 7. References 1Y. Shibayama, and M Saito, JAPANESE JOURNAL of APPLIED PHYSICS, Vol. 29, pp. 2152-2155, 1990. 2A. Sekiguchi, Y. Minami, T. Matsuzawa, T. Takezawa, and H. Miyakawa, Electtronics and Communications in Japan, Part 2, Vol.78, No. 5, pp. 21-30, 1995 3A. Sekiguchi, C. A. Mack, M. Isono, and T. Matsuzawa, Proc. SPIE, Vol. 3678, pp. 985-1000, 1999. 4A. Sekiguchi, C. A. Mack, Y. Minami, and T. Matsuzawa, Proc. SPIE, Vol. 2725, pp. 49-63. 1996. 5A. Erdmann, C. L. Henderson, C. G. Willson,and W. Henke, Proc. SPIE, Vol.3048, pp. 114-124, 1997. 6H. H. Hopkins, Proc. Roy. Soc., A217, pp. 408-432, 1953. 7Y. Hirai, S. Tomida, K. Ikeda, M. Sasago, M. Endo, S. Hayama, and N. Nomura, IEEE Trans. Comput., Aided Des., 10, 6. pp. 802-807, 1991.

PAGA-100 system analysis of photochemical reactions during exposure RDA system resist development rate measurement ABC analyzer measurement of the A, B and C parameters Fig. 1. Configuration of the equipment

Resist spin coarting Pre-BakeProximity type 110/minute Vacuum dessicator 60minute With water /minute Without water Fig. 2. Sample preparation processes O N 2 hν C O + H 2 O COOH R SO 2 R SO 2 R SO 2 Figure 3. The most probable reaction of DNQ-novolak positive resist.

-COOH 1705cm -1 2150cm -1 Exposure (a) COOH 1705cm -1 2150cm -1 Exposure (b) Figure 4. Typical FT-IR spectra showing bleaching reactions as a function of (a)without water process and, (b)with water process at exposed at 10mJ/cm 2.

Without water process With water process PAC concentration [PAC] 1.0 0.8 0.6 0.4 0.2 0.0 1.0 0.8 0.6 0.4 0.2 0.0 Carbo-acid concentration [COOH] 0 100 200 300 400 500 600 Exposure time (s) Figure 5. Relationship between [PAC], [COOH] and exposure time ( at exposure power was 10mW/cm 2 ). 0.8 0.7 0.6 Transmittance 0.5 0.4 0.3 0.2 0.1 Without water process With water process 0.0 0 100 200 300 400 500 Exposure time (s) Figure.6 Relationship between transmittance and exposure time (a)without water process and, (b)with water process by using ABC-analyzer at exposure power

Eth 10mW/cm 2. 10000 W ithout water process W ith water process Without water:6330mj/cm 2 Eth (mj/cm 2 ) With water :1170mj/cm 2 1000 0 100 200 300 400 500 600 700 800 900 1000 Development time (s) Tan (a) 1000 Development rate (nm/s) 100 10 Without water :Tan0.59 With water :Tan1.16 W ithout water process With water process 1 0.1 1 10 100 1000 10000 Exposure dose (mj/cm 2 ) (b)

Fig. 7. RDA data Eth, Tan θ With water Without water Expo. 2000mj/cm 2 3000mj/cm 2 3000mj/cm 2 5000mj/cm 2 10 m Line 8 m Line 6 m Line 4 m Line

Fig. 8. SOLID-C data Resist profile simulation Line:Space=1:2 100 80 Pattern angle (deg) 60 40 20 0 Without water process 3000 (mj/cm 2 ) Without water process 5000 (mj/cm 2 ) With water process 2000 (mj/cm 2 ) With water process 3000 (mj/cm 2 ) 4 5 6 7 8 9 10 Pattern size (µm) Fig. 9. SOLID-C data Side wall angle graph Loss thickness (nm) 24000 22000 20000 18000 16000 14000 12000 10000 8000 6000 4000 2000 0 Without water process 3000 (mj/cm 2 ) Without water process 5000 (mj/cm 2 ) With water process 2000 (mj/cm 2 ) With water process 3000 (mj/cm 2 ) 4 5 6 7 8 9 10 Pattern size (µm)

Fig. 10. SOLID-C data Resist loss graph With water Without water Expo. 2000mj/cm 2 3000mj/cm 2 3000mj/cm 2 5000mj/cm 2 10 m Line m Line m Line m Line Fig. 11. SEM and simulation data Line:Space=1:2