Chapter 3: Thin film deposition and characterization techniques

Similar documents
TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

6.5 Optical-Coating-Deposition Technologies

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

Introduction to Plasma

Secondary Ion Mass Spectrometry (SIMS)

MICROCHIP MANUFACTURING by S. Wolf

Because light behaves like a wave, we can describe it in one of two ways by its wavelength or by its frequency.

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

Film Deposition Part 1

Fabrication Technology, Part I

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

Secondary Ion Mass Spectroscopy (SIMS)

Review of Optical Properties of Materials

Skoog Chapter 6 Introduction to Spectrometric Methods

Plasma Deposition (Overview) Lecture 1

CHARACTERIZATION of NANOMATERIALS KHP

CHAPTER 6: Etching. Chapter 6 1

CVD: General considerations.

Lecture 22 Ion Beam Techniques

Auger Electron Spectroscopy (AES)

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Chapter 7 Plasma Basic

Stepwise Solution Important Instructions to examiners:

Chemistry Instrumental Analysis Lecture 19 Chapter 12. Chem 4631

k T m 8 B P m k T M T

Chemistry Instrumental Analysis Lecture 34. Chem 4631

Radionuclide Imaging MII Detection of Nuclear Emission

ETCHING Chapter 10. Mask. Photoresist

Vibrational Spectroscopies. C-874 University of Delaware

Introduction to Thin Film Processing

Repetition: Practical Aspects

EMISSION SPECTROSCOPY

Thin Film Bi-based Perovskites for High Energy Density Capacitor Applications

Ionization Techniques Part IV

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Chapter 4 Scintillation Detectors

M2 TP. Low-Energy Electron Diffraction (LEED)

LECTURE 5 SUMMARY OF KEY IDEAS

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

CHAPTER A2 LASER DESORPTION IONIZATION AND MALDI

Chapter 24 Photonics Question 1 Question 2 Question 3 Question 4 Question 5

Characterisation of vibrational modes of adsorbed species

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular

object objective lens eyepiece lens

a. An emission line as close as possible to the analyte resonance line

Matter mass space atoms solid, a liquid, a gas, or plasm elements compounds mixtures atoms Compounds chemically combined Mixtures not chemically

Deposition of thin films

Chapter 7. Plasma Basics

= 6 (1/ nm) So what is probability of finding electron tunneled into a barrier 3 ev high?

Secondary ion mass spectrometry (SIMS)

Semiconductor Technology

Solutions for Assignment-6

4. Inelastic Scattering

Chapter 12. Nanometrology. Oxford University Press All rights reserved.

Practical 1P4 Energy Levels and Band Gaps

Chemistry Instrumental Analysis Lecture 17. Chem 4631

THIN FILMS FOR PHOTOVOLTAICS AND OTHER APPLICATIONS. BY Dr.A.K.SAXENA PHOTONICS DIVISION INDIAN INSTITUTE OF ASTROPHYSICS

LASERS. Dr D. Arun Kumar Assistant Professor Department of Physical Sciences Bannari Amman Institute of Technology Sathyamangalam

Photoelectron spectroscopy Instrumentation. Nanomaterials characterization 2

Table of Content. Mechanical Removing Techniques. Ultrasonic Machining (USM) Sputtering and Focused Ion Beam Milling (FIB)

Reference literature. (See: CHEM 2470 notes, Module 8 Textbook 6th ed., Chapters )

Earlier Lecture. In the earlier lecture, we have seen non metallic sensors like Silicon diode, Cernox and Ruthenium Oxide.

1 P a g e h t t p s : / / w w w. c i e n o t e s. c o m / Physics (A-level)

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy. Chemistry 311: Instrumentation Analysis Topic 2: Atomic Spectroscopy

NANOSTRUCTURED CARBON THIN FILMS DEPOSITION USING THERMIONIC VACUUM ARC (TVA) TECHNOLOGY

Practical 1P4 Energy Levels and Band Gaps

Chapter 10. Nanometrology. Oxford University Press All rights reserved.

Advanced Lab Course. X-Ray Photoelectron Spectroscopy 1 INTRODUCTION 1 2 BASICS 1 3 EXPERIMENT Qualitative analysis Chemical Shifts 7

Effect of Spiral Microwave Antenna Configuration on the Production of Nano-crystalline Film by Chemical Sputtering in ECR Plasma

Methods of surface analysis

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist

3 - Atomic Absorption Spectroscopy

Chap. 3. Elementary Quantum Physics

X- ray Photoelectron Spectroscopy and its application in phase- switching device study

Lecture 23 X-Ray & UV Techniques

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Electron Microprobe Analysis and Scanning Electron Microscopy

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

Revision Guide. Chapter 7 Quantum Behaviour

Sputter Ion Pump (Ion Pump) By Biswajit

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

AP5301/ Name the major parts of an optical microscope and state their functions.

Electron Microprobe Analysis 1 Nilanjan Chatterjee, Ph.D. Principal Research Scientist

Transmission Electron Microscopy

Unit IV Semiconductors Engineering Physics

An Introduction to Diffraction and Scattering. School of Chemistry The University of Sydney

Lecture 1: Vapour Growth Techniques

Atmospheric pressure Plasma Enhanced CVD for large area deposition of TiO 2-x electron transport layers for PV. Heather M. Yates

Discovered by German scientist Johann Hittorf in 1869 and in 1876 named by Eugen Goldstein.

ELECTROMAGNETIC WAVES

Chapter 28. Atomic Physics

Chapter Six: X-Rays. 6.1 Discovery of X-rays

Transcription:

Chapter 3: Thin film deposition and characterization techniques 3.1 Introduction: The Material Science and Engineering community s ability to visualize the novel materials with extraordinary combination of chemical, physical and mechanical, properties has changed the modern society. Thin film technology is the basics of amazing development in solid state electr6onics. Thin films science and technology, a branch of material science, plays an important role in the today s high-tech industries. The beginning of Thin-Film can possibly start from the observation of Grove, who noted that metal films were formed by sputtering of cathode with high energy positive ions [1]. The continued production of thin films for device purposes is the development of past 40 years. Thin films as a two dimensional systems are of great importance to many real world problems. Their material costs are very little as compared to the corresponding bulk material and they perform the same function when it comes to surface processes. Thus, knowledge and determination of the nature, functions and new properties of thin films have been used for the development of new technologies for future applications. With more and more of today s technologies entering miniaturization to the levels of the single atom and demand for precision reaching tolerances which only can be read by an electron microscope. Thin film technology is rapidly branching out to new industries every day. Films can be mathematically defined as homogenous solid material between two planes and extended in two directions but restricted along third direction perpendicular to XY plane. Their magnitude vary from 50-100Å (ultra thin), 100-1000Å (thin) and >1000Å (thick films). When a substrate is absent a solid film is called as a foil [2]. Thin films are deposited onto bulk substrate to achieve properties unattainable or not easily attainable in the substrates alone. The properties of thin film generally varies from the values of the physical parameters of the materials in bulk form as given below [3-4]- Thin Films may be: Not fully dense Under stress Different defect structures from the bulk material Quasi-two dimensional Strongly influenced by surface and interface effects Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.1

These unique properties can be due to their small thickness of few atomic layers up to micrometer value. This will change the optical, magnetic, electrical, thermal, mechanical and chemical properties. Table 3.1 divides thin film properties into five basic categories and gives example of typical applications within each category [1]. The properties of the thin films can also be affected by the high surface to volume ratio of the film. In many cases the growth and preparation of thin film are affected by the properties of the underlying substrate material. Table 3.1: Properties and applications of thin films. Thin Film Property Category Optical Magnetic Electrical Thermal Chemical Mechanical Typical applications Reflective/ antireflective coatings Interference filters Decoration (color, luster) Optical memory discs (CDs, DVDs) Optical Waveguides Memory discs (Hard discs and tapes) Insulation Conduction Semiconductor devices Piezoelectric drivers Barier layers Heat sinks Barrier to diffusion or alloying Protection against oxidation or corrosion Gas/liquid sensors Tribological (wear-resistant) coatings Hardness Adhesion Micromechanics The usefulness of the optical properties of metal films, and scientific curiosity about the behavior of two-dimensional solids has been responsible for the immense interest in the study of science and technology of the thin films. Thin film studies have directly or indirectly advanced many new areas of research in solid state physics and chemistry which are based on phenomena uniquely characteristic of the thickness, geometry, and structure of the film. Thin Film Deposition technology can well be regarded as the major key to the creation of devices such as computers, since microelectronic solid-state devices are all based on material structures created by the Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.2

deposition techniques. Excellent stability and accuracy together with a level of reliability of the electronic components and devices is the characteristic of thin film technology. Early references to the science of thin film deposition include the research conducted by Michael Faraday in 1857. In the series of experiments, Faraday created thin metallic films by exploding metal wires in a vacuum vessel [5]. Historically, the techniques for thin film deposition have evolved in approximately this order: thermally induced evaporation (by electrical resistance heating, induction heating, and electron beam heating), sputtering (diode, triode, magnetron, ion beam), arc processes, and most recently, laser ablation. The purpose of this chapter is to acquaint with the theoretical background of physics of thin film deposition techniques especially towards high-k thin films. High-k thin films can be deposited from variety of materials like metals, semiconductors, dielectrics etc using the different techniques. Amongst them few of the deposition techniques are discussed in detail in the following sections. 3.2 Classification of thin-film deposition techniques: The number of thin film materials, their deposition processing and fabrication techniques are used to produce the devices. It is possible to classify these techniques in two ways. Physical Vapor Deposition (PVD) Chemical Vapor Deposition (CVD) Physical method covers the deposition techniques which depend on the evaporation or discharge of the material from a source, i.e. evaporation or sputtering, whereas chemical methods depend on physical properties and solid films are formed on the substrate by chemical reaction of vapor phase chemicals that contain the required constituents. Structure-property relationships are the key features of electronic devices and basis of thin film technologies. Underlying the performance and economics of thin film components are the manufacturing techniques on a specific chemical reaction. Thus chemical reactions may depend on thermal effects, as in vapor phase deposition and thermal growth. However, in all these cases a definite chemical reaction is required to obtain the absolute film. Physical Vapor Deposition a. Evaporation: Conventional vacuum evaporation (Thermal) Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.3

Electron-beam evaporation Pulsed LASER evaporation Molecular-beam epitaxy (MBE) b. Sputtering: DC sputtering Radio Frequency sputtering Magnetron sputtering Reactive Sputtering Chemical Vapor Deposition Atmospheric-pressure CVD (APCVD) Low-pressure CVD (LPCVD) Metal-organic CVD (MOCVD) Plasma-enhanced CVD (PECVD) Atomic layer deposition (ALD) Laser-induced CVD (LCVD) Wet Chemical Techniques Chemical Bath Deposition (CBD) Spray pyrolysis Electroplating Sol-gel spin-on techniques 3.2.1 Physical Vapor Deposition (PVD): PVD refers to the process where solid material to be deposited is physically converted to vapor Phase. The vapor phase is transported across a region of reduced pressure from the source to the substrate, at which film growth proceeds. Structural improvement of the film requires migration of arriving species on the growth surface and there is a need to balance arrival rate with this surface mobility, allowing time for atoms to reach equilibrium sites [6]. 3.2.1.1 Evaporation: In the process of evaporation, heat is enter into the source material (often called the charge, which may be an elemental metal, an alloy, a mixture or a compound) to create a plume of vapor which travels in straight line paths to the substrate. The atoms, molecules, and clusters of molecules condense from the vapor phase to form a solid film, upon arrival at the substrate. The heat of condensation is absorbed by the substrate [7]. On a microscopic scale the localized heating from this Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.4

process can be huge. In the development of metal coating techniques for thin crosssection plastic parts, it is common, to melt substrates during the initial deposition runs. Monitoring of source-to-substrate distances and deposition rates will allow coating of temperature sensitive substrates without melting. There are several methods by which heat can be delivered to the charge to cause vaporization: induction heating, electric resistance heating, and electron beam heating. Deposition of thin films by laser ablation and cathodic arc could be grouped in this section with thermal processes. The laser source is located outside the evaporation system and the beam penetrates through a window and is focused on to the evaporate material, which is generally fine powder form. Thermal evaporation: Thermal evaporation is a common method of thin-film deposition. The source material is evaporated in a vacuum. The vacuum allows vapor particles to travel directly to the target object (substrate), where they condense back to a solid state. Thermal evaporation is used in micro-fabrication to make electrical contacts. In thermal evaporation resistive coil or boat is used that contains charge in solid bar or powder form. A large DC current passed through resistive coil/boat to acquire high melting points of respective metals, where metal get evaporated and attain to substrate in high vacuum conditions. The low melting points materials can be deposited by this technique specially [6]. A type of resistive/boat heaters is as shown in figure 3.1 (a). Evaporation takes place in a vacuum, i.e. vapors other than the source material are almost entirely removed before the process begins. The thermal evaporation chamber is pumped by diffusion pump to create high vacuum. The material that is to be deposited is placed in heater or the crucible that is heated by giving DC power supply. As the crucible or heater gets heated the charge gives off vapor that reaches to substrate and sticks on the surface. In high vacuum (with a long mean free path), evaporated particles can travel directly to the deposition target without colliding with the background gas. Hot objects in the evaporation chamber, such as heating filaments, produce unwanted vapors that limit the quality of the vacuum. The schematic of thermal evaporation system is shown in figure 3.2 (b). The issues with conventional evaporation are: o Purity of the deposited film depends on the quality of the vacuum, and on the purity of the source material. Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.5

o At a given vacuum pressure the film purity will be higher at higher deposition rates as this minimizes the relative rate of gaseous impurity inclusion. o The thickness of the film will vary due to the geometry of the evaporation chamber. Collisions with residual gases aggravate non-uniformity of thickness. o Wire filaments for evaporation cannot deposit thick films, because the size of the filament limits the amount of material that can be deposited. o In order to deposit a material, the evaporation system must be able to melt it. This makes refractory materials such as tungsten hard to deposit by methods that do not use electron-beam heating. Figure 3.1: a) Resistive boats/heaters used in thermal evaporation. Figure 3.1: b) Schematic of thermal evaporation. Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.6

E-beam evaporation: This method uses the intense beam of high energy electrons to evaporate source materials. Electrons thermionically emitted from a hot filament and accelerated into a source material can generate enough energy density to evaporate any material. In a typical case involving 1 A of emission accelerated through a 10-kV voltage drop, 10 kw is delivered upon impact [8]. To avoid dissolving the filament in arriving evaporant, the filament is located out of sight of the evaporant as shown in the electron gun of figure 3.2 and the electron beam is pulled around to the surface by a magnetic field, B, shown pointing into the figure. The combined force, F, on an electron in electric (E) and magnetic field is known as the Lorentz force and is given by [9]: F = F E + F B = q e E + q e v B (3.1) Figure 3.2: Schematic of electron beam evaporation system. Where, F is in newtons/m 2, q e in coulombs, E in V/m, B in webers/m 2 = tesla, and the electron velocity v is in m/s. The cross product vector, F B, is oriented perpendicular to both v and B as shown in figure 3.2. The first force term in eq. (3.1) accelerates the electrons away from the filament or cathode. The v so acquired causes the electrons to be deflected sideways as they cross the magnetic field lines, in accordance with the second force term. This second force is balanced by the centrifugal force of the electrons curving at radius r. It is important to aim the beam at the center of the source material and to avoid hitting the material s container (Hearth). The electrons emitted Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.7

from the heated cathode are caused to travel in an oval shaped path by the electrostatic negative field applied to the cylindrical focusing electrode. A strong magnetic field bends the beam through 270 C causing it to be incident on the surface of the charge. The beam can be rastered across the charge to melt a significant fraction of the surface. The hot portion of the charge is then effectively self contained by the cooler portion of the charge. Water cooling is provided via concentric tubes connected thermally to the bottom of the charge. The source material is usually contained by a Cu hearth which is water cooled to prevent its outgassing or melting. Cooling also prevents the hearth from alloying with molten source materials, because the material immediately adjacent to a cooled hearth cannot melt. It is often convenient to have multiple sources in the evaporator, even if only one of them is to be used at a time. Such an arrangement allows deposition of different materials without opening the high vacuum chamber. When thermionic emissions electron guns are used, the hot electron filaments remain a source of contamination in the chamber. A special care must be taken in e-gun design when operating these systems at very high vacuums. Advantages and disadvantages 1. The deposition rate in this process can be as low as 1 nm per minute to as high as few micrometers per minute 2. The material utilization efficiency is high relative to other methods and the process offers structural and morphological control of films 3. It creates less surface damage from the impringing atom as the film is being formed, 4. The film created has high purity form (due to the high vacuum area) and has less chance for the wafer (substrate) to be heated unintentationally. 5. Electron-beam evaporation allows tight control of the evaporation rate. Thus, an electron-beam system with multiple beams and multiple sources can deposit a chemical compound or composite material of known composition. As for the disadvantage, it is more difficult to be controlled incapable of doing surface cleaning, harder to improve the step coverage and could cause x-ray damages by the electron beam evaporation. 3.2.1.2 Sputtering: A technique used to deposit thin films of a material onto a surface i.e. substrate by first creating gaseous plasma and then accelerating the ions from this Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.8

plasma into some source material i.e. target. The source material is eroded by the arriving ions via energy transfer and is ejected in the form of neutral particles - either individual atoms, clusters of atoms or molecules. As these neutral particles are ejected they will travel in a straight line unless they come into contact with something - other particles or a nearby surface. If a "substrate" such as a semiconductor wafer is placed in the path of these ejected particles it will be coated by a thin film of the source material [10]. An energy source (such as RF, DC, MW) is required to "feed" and thus maintain the plasma state while the plasma is losing energy into its surroundings. One can create this dynamic condition by metering a gas (such as Ar) into a pre-pumped vacuum chamber and allowing the chamber pressure to reach a specific level (eg. 0.1 Torr) and introducing a live electrode into this low pressure gas environment using a vacuum feed through. The plasma is initiated by applying a large voltage across a gap containing a low pressure gas. The required breakdown voltage is given by Paschen s law [6]: V (3.2) Where P is the chamber pressure, L is the electrode spacing, and b is a constant. Once plasma is formed, ions in the plasma are accelerated toward the negatively charged cathode. When they strike the surface, they release secondary electrons, which are accelerated away from the cathode. If the energy transfer is less than the ionization potential of the gaseous species, the atom can be eexcited to an energy state. The atom decays from this excited state through an optical transition, providing the characteristic glow. If the energy trnaser is high enough, however the atom will ionize and be accelerated toward the cathode. The bombardment of the cathode in this ion stream gives rise to the process of sputtering. The schematic of sputtering system is shown in figure 3.3. The system consists of a vacuum chamber in which the sputtering is performed. The target and the substrate are placed in the vacuum chamber. The vacuum system consists of a roughing pump and the cryo pump. The roughing pump is first used to bring the chamber to a moderate vacuum level of approximately 1Torr from the atmospheric pressure. Then the cryo pump takes over which pumps the chamber to ultra high vacuum levels. A liquid nitrogen trap is used to reduce the pressure in the vacuum to Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.9

Deposition and characterization of high-k dielectric thin films for MOS capacitors approximately 10-4 Torr. The power (DC/RF) source is selected according to the sputtering mechanism. Ion and thermocouple gauges are used at a number of points to monitor the pressure continuously. The valves are controlled by a control system. The sputtering further classified into: Figure 3.3: Schematic of sputtering system. DC sputtering: In this, d.c. electric field is impressed across two water cooled electrodes. In d.c. sputtering, it is essential that positive ions impringing on the target surface have an opportunity to recombine with electrons to avoid charge accumulation on the surface. With d.c. sputtering system, it is feasible to reverse system polarity, and thereby etch the substrate prior to film deposition. This process, known as back sputtering, is extremely useful for removing thin surface layers such as residual oxides, which can affect the electrical and mechanical properties of the film substrate combination, or prevent adhesion of the film to the substrate [7]. RF sputtering: Radio frequency sputtering is generally carried out with 1 to 3 kv peak to peak r.f. potential. Excitation is normally offered at 13.36 MHz, which is intentionally assigned for equipment usage. DC sputtering cannot be used for insulating films because the surface on the target becomes positively charged. The use Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.10

of a RF power source with an impedance matching network solves this problem and allows the sputtering of insulating materials. The voltage applied at a frequency of 13.56 MHz; allows for charging and discharging of the insulating target [4, 11]. Magnetron sputtering: The application of magnetic field in plasma causes the electrons to spiral around the direction of the magnetic field lines. Magnetron sputtering utilizes magnetic fields to increase deposition rates and allow for low operating pressures and temperatures. For example, the application of a planar magnetron will cause electrons in the glow discharge to follow a helical path, increasing the rate of collisions and ionization. Therefore, magnetron sputtering grows high quality films at lower operating pressures [6, 12]. Reactive sputtering: Reactive sputtering can be used to deposit films of such materials like oxides or nitrides through the use of pure metal targets. In addition to the sputtering gas (argon), a reactive species-oxygen or nitrogen, for example-is introduced into the growth chamber. The sputtered target atoms react with the gas to form the new material [6]. Some of the benefits of sputtering include: [4] 1. High uniformity of thickness; 2. Good adhesion of film to substrate; 3. Reproducibility of films; 4. Ability to deposit and maintain the stoichiometry of the target material; 5. Relative simplicity of thickness control. 3.2.2 Chemical Vapor Deposition (CVD): Chemical vapor deposition can be defined as a material synthesis method in which the constituents of vapor phase react together to form a solid film at surface. Deposition takes place due to a chemical reaction between some reactants on the substrate. In this case reactant gases (vapors of precursors) are pumped in to a reaction chamber. Under the right conditions such as temperature and pressure, they undergo a reaction at the substrate. One of the products of the reaction gets deposited on the substrate. The by-products are pumped out. The key parameters are chemical reaction rates, gas transport, diffusion etc. By varying the experimental conditions substrate material, substrate temperature, composition of the reaction gas mixture, total pressure gas flows, etc. materials with different properties can be grown. The chemical reaction is an essential characteristic of this method; therefore, besides the Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.11

control of the usual deposition process variables, the reactions of the reactants must be well understood. Various types of chemical reactions are utilized in CVD for the formations of solids are pyrolysis, reduction, oxidation, hydrolysis, synthetic chemical transport reaction etc [6-7]. 3.2.2.1 APCVD: APCVD is a CVD method at normal pressure i.e. atmospheric pressure which is used for deposition of doped and undoped oxides. The deposited oxide has a low density and the coverage is moderate due to a relatively low temperature. The surface reaction on the heated wafer, typically at 400 C, grew films in the 2000 to 3000 Å/min range [13]. The low temperature simple reactor design and high wafer throughput is a big advantage of this process. APCVD suffers from poor step coverage, fast precursor flow, particle contamination, frequent cleaning. With a better understanding of the reaction mechanisms and the injection of reactants, some of these step coverage problems could be minimized. Various new reactors have been built around these enhancements and are used today. Because of improved tools, the APCVD undergoes regeneration. 3.2.2.2 LPCVD: In LPCVD a vacuum is used. LPCVD processes enable a high conformity of almost 1. This is because of the low pressure of 10 to 100 Pa (atmospheric pressure = 100.000 Pa) which leads to a non-uniform movement of the particles. The particles dispread due to collisions and cover vertical surfaces as well as horizontal ones. The conformity is supported by a high temperature of up to 900 C [13]. Compared to APCVD the density and stability is very high. LPCVD is a process used in the manufacturing of the deposition of thin films on semiconductors usually ranging from a few nanometers to many micrometers. LPCVD is used to deposit a wide range of possible film compositions with good conformal step coverage. 3.2.2.3 MOCVD: MOCVD (metal organic chemical vapor deposition) is a technique that is used to deposit very thin layers of atoms onto a semiconductor wafer. In MOCVD ultra pure gases are injected into a reactor and finely dosed to deposit a very thin layer of atoms onto a semiconductor wafer. It is used to produce single or polycrystalline thin Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.12

films. It is a highly complex process for growing crystalline layers to create complex semiconductor multilayer structures [14]. 3.2.2.4 PECVD: In this method of CVD, plasma is added in the deposition chamber with reactive gases to create the desired solid surface on the substrate. The plasma is any gas in which a significant percentage of the atoms or molecules are ionized and is energetic and disposes on the surface. The PECVD takes place at 250 to 350 C. Due to low temperatures the process gases cannot be decomposed thermally. The plasma is created by RF (AC) frequency or DC discharge between two electrodes, the space between which is filled with the reacting gases. Because metallization, such as aluminum, cannot be exposed to high temperatures, the PECVD is used for SiO 2 and Si 3 N 4 deposition on top of metal layers. PECVD becomes alternative that is low temperature and low pressure technique having plasma as energy input. The conformity is not as good as in LPCVD (0.6 to 0.8), however, the deposition rate is much higher (0.5 microns per minute). The process parameters like gas flow rates, power input, chamber pressure, reactor geometry, inter electrode spacing; substrate temperature etc. can be controlled to achieve the desired quality films [15]. Advantages of PECVD include the low temperature, higher film density for higher dielectric and more compression, and ease of cleaning the chamber. Disadvantages include the expense of the equipment and the stress of plasma bombardment [12]. 3.2.2.5 ALD: Atomic Layer Deposition (ALD) is a modified CVD process to manufacture thin films. The process uses several gases which are led into the process chamber alternating. It is a thin film deposition technique that is based on the sequential use of a gas phase chemical process. Each gas reacts in such a way that the current surface is saturated, and therefore the reaction comes to a standstill. The alternative gas is able to react with this surface in the same way. Between the reactions of these gases the chamber is purged with an inert gas, like nitrogen or argon. A simple ALD process could look like this: i. self-limiting reaction at the surface with first gas ii. purging with an inert gas iii. self-limiting reaction at the surface with second gas iv. purging with an inert gas Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.13

Due to the characteristics of self-limiting and surface reactions, ALD film growth makes control at atomic scale. ALD is similar in chemistry to CVD, except that the ALD reaction breaks the CVD reaction into two half-reactions, keeping the precursor materials separate during the reaction. By keeping the precursors separate throughout the coating process, atomic layer control of film growth can be obtained as fine as ~0.1 Å (10 pm) per cycle. Separation of the precursors is accomplished by pulsing a purge gas (typically nitrogen or argon) after each precursor pulse to remove excess precursor from the process chamber and prevent 'parasitic' CVD deposition on the substrate [14]. 3.2.3 Wet Chemical Techniques In these techniques liquid solution is coated on the substrate and then dried to form the thin solid film. 3.2.3.1 CBD: A chemical bath deposition (CBD) technique is also called as solution growth or controlled or arrested precipitation and it doesn t require any sophisticated instruments. CBD requires simple equipment like hot plate with magnetic stirrer and needle. Starting material for CBD process is economical and commonly available in local market. This technique deposits thin films of number of metal oxides (MOs) and chalcogenides on the surface of an insoluble substrate. Till today, various reports are available for the deposition of various MO s and metal chalcogenides semiconducting material and some review articles shows the necessity and working principle of CBD [16-17]. Figure 3.4 shows schematic diagram for the experimental set up of CBD technique. Figure 3.4 Experimental set up of chemical bath deposition technique. Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.14

In CBD technique, when cationic and anionic solutions are mixed together and if ionic product exceeds or become equal to the solubility product, precipitation occurs as ions combine on the substrate and in the solution to form nuclei. In this reaction, the basic building blocks are ions instead of atoms; hence reaction can be controlled at ionic layer by homogeneous precipitation. The chemical bath deposition (CBD) method is based on the principle of solubility product and ionic product where the film formation on the substrate takes place when ionic product exceeds solubility product. This results in unnecessary formation of bulk precipitate and loss of material. In order to avoid such unnecessary bulk precipitation, CBD method is modified and known as successive ionic layer adsorption and reaction (SILAR) technique. Because of the layered structure, SILAR technique is particularly favorable in fabricating chalcogenides, mixed metal chalcogenide and oxides. 3.2.3.2 Sol-gel Spin coating technique Spin coating has been used for several decades for the application of thin films. A typical process involves depositing a small puddle of a solution onto the substrate and then spinning the substrate at high speed (typically around 1000-8000 rpm). Centrifugal acceleration will cause the solution to spread to, and eventually off, the edge of the substrate leaving a thin film of solution on the surface. Final film thickness and other properties will depend on the nature of the solution (viscosity, drying rate, percent solids, surface tension, etc.) and the parameters chosen for the spin process. Factors such as final rotational speed, acceleration, and fume exhaust contribute to how the properties of coated films are defined. One of the most important factors in spin coating is repeatability. Slight variations in the parameters that define the spin process can result in drastic variations in the coated film. The following is an explanation of some of the effects of these variations. A typical spin process is divided in to four sequential stages deposition, spin up, spin off and evaporation. The figure shows typical spin process stages and elaborated as follows: a. Deposition Prior to this step, typical gel of the desired material can be prepared by using organic solvent and adhesive material. The solvent used for the gel preparation plays crucial role in casting process. The deposition step involves spreading of prepared gel over the substrate. The gel is distributed on the substrate through dispenser at the center of substrate. The viscosity of gel and surface tension of the substrate plays crucial role to decide the deposition of amount of gel on the substrate. To avoid Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.15

discontinuity and defects in the film, an excessive amount of gel is deposited on the substrate. The schematic representation of the step is shown in the figure 3.5 (A). b. Spin up In spin up stage, first the substrate is positioned at the center of chuck placed above rotating shaft of motor by using vacuum pump and then rotated the substrate at lower speed by rotating shaft. As the rotation ramp up the rotational force transferred upward through substrate to the gel and excess gel is thrown away from the substrate. Because, centrifugal force is involved in this process and this force living behind thin and uniform film on the substrate. The vacuum is necessary to hold the substrate in next steps otherwise, substrate will be ousted. This process start the casting process and last long for 15 s to 30 s and can be extended upto 60 s depending upon viscosity of the solution. The schematic representation of this stage is shown in the figure 3.5 (B). (A) Deposition (B) Spin (C) Spin Off (D) c. Spin Off Figure 3.5 Schematic block diagram of spin coating process. In spin off stage, the excess solvent is removed from the film by rotating the substrate at higher rotating speed. Due to this, the excess gel is thrown away from the film; maintain the uniformity throughout the substrate. In this step, rotating shaft is accelerated with speed ranges from 1000 to 8000 rpm. During this speed, strong centrifugal force act on the film and most of the solvent evaporated out and increase the viscosity of the gel. Finally, uniform and defect free thin film is casted on substrate and it will remain so far during the process [18-19]. After the spin up stage, this step is ranges for 60 s to 120 s or more time required depending on the material properties. The schematic representation of this stage is shown in the figure 3.5 (C). Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.16

d. Evaporation Throughout the spin coating process, evaporation of solvent is prime importance and it is complex process by which a portion of excess solvent is absorbed in the atmosphere. It takes part from the initial step deposition and ends with spin off stage in spin coating process. If significant evaporation occurs during coating process then uniform film is casted on the substrate otherwise it is responsible for defects in the film itself. The schematic representation of this stage is shown in the figure 3.5 (D). Advantages of spin coating: The technique does not require sophisticated instrumentation. Variety of the thin films can be coated. Time saving process, because it is lack of coupled process variable. The film thickness easily maintained by varying spin speed and viscosity of the gel. Mixed and doped film structures could be obtained by merely adding the mixing/dopants during preparation of gel. Preparative parameters are easily controllable. Low processing temperature. Disadvantages of Spin Coating Large substrates cannot be spun at a sufficiently high rate in order to allow the film to thin and dry in a timely manner resulting in decreased throughput. Typical spin coating processes utilize only 2-5% of the material dispensed onto the substrate, while the remaining 95-98% is flung off into the coating bowl and disposed. 3.3 Characterization techniques 3.3.1 X-ray diffraction (XRD) X-ray diffraction (XRD) is a well known technique to obtain the information about composition, phase and crystallite orientations of the material. Structure identification, determination of lattice parameters and grain size are based on the X- ray diffraction pattern. Improved detection methods for X-ray, the availability of commercial monochromators and intense micro focus X-ray sources have made X-ray diffraction method applicable to films as thin as 100 Å. The several workers have described X-ray diffraction arrangement, suited to the study of thin films. This technique employs a chromater, to provide a diffracted beam, which is further Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.17

diffracted from the film surface oscillating about the mean diffraction position. The X-ray diffraction technique based on monochromatic radiation is more important because the spacing of the planes ( d spacing) can be deduced from the observed diffraction angles. Figure 3.6 shows the schematic representation of X-ray diffraction (XRD) technique. The phenomenon of X-ray diffraction can be considered as reflection of X- rays from the crystallographic planes of the lattice and is governed by the Bragg s equation, 2d Sin θ = n λ (3.3) Where, d is lattice spacing, λ is the wavelength of the monochromatic X-rays, n is the order of diffraction and θ is diffraction angle. The d values are calculated using above relation for known values of θ, λ and n. X-ray Source Diverging Slit Receiving Slit Detector Sample 2 Figure 3.6: Schematic representation of X-ray diffractometer. The X-ray diffraction data thus obtained is compared with American Standard for Testing of Materials (ASTM) or Joint Committee Powder Diffraction Standards (JCPDS) also known as International Centre for Diffraction Data (ICDD) powder diffraction data to identify the unknown material. The sample used may be powder, single crystal or thin film. The crystallite size of the deposits is estimated from the full Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.18

width at half maximum (FWHM) of the most intense diffraction line by Scherrer s formula as follows: D = K λ / cos (3.4) Where, D is crystallite size, λ is wavelength of X-rays used, is full width at half maxima of the peak (FWHM) in radians, is Bragg s angle and K =0.9. Though this technique is applicable for determination of crystal structure, lattice parameters, particle size etc, it is not useful for identification of individuals of multilayer s or percentage of doping material [20-22]. 3.3.2 Surface morphological studies Scanning electron microscope (SEM) is an instrument that is used to observe the morphology of the sample at higher magnification, higher resolution and depth of focus as compared to an optical microscope shown as figure 3.7. Basically SEM is used for topographical and compositional observations of surfaces, elemental analysis of specimen, internal structure observation, internal characteristics observation, crystalline structure and magnetic domain observation. Mostly scanning electron microscopy is convenient method for grain size determination and studying the microstructural aspects. Electron interaction with elements has been extensively used for the characterization of materials. Scattering of electron from the electrons of the atom results into secondary and backscattered electrons. These scattered electrons give information about the microstructure of sample in the form of image. These images are classified as (a) secondary electron image and (b) backscattered electron image. A secondary electron image is most generally used to study, the surface topography. In this case, detector is sensitive to electrons that emerge from the specimen to produce a synchronous visual display of the signal on a cathode ray tube screen. With energy > 50 ev are produce by the electron gun, several electromagnetic lenses then focuses this into narrow beam of nearly 2 nm diameter on the sample then the electron beam is scanned across the specimen by the use of scan coils [23, 24]. The secondary electron current produced will vary according to the condition mentioned below. 1) Acceleration potential of incident beam. 2) The surface morphology and by specially the angle which incident beam, makes with any particular surface site. 3) The density of any surface site which influences penetration of the incident beam and absorption of the secondary electron. Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.19

4) The surface chemistry and crystallography, which influences the potential barrier of secondary emission. 5) The local surface charge accumulation. 3.3.3 Elemental studies Energy dispersive X-ray spectroscopy (EDS) is an analytical technique used predominantly for the elemental analysis or chemical characterization of a specimen. This method relies on the investigation of a sample through interactions between electromagnetic radiation and matter, analyzing X-rays emitted by the matter in this particular case. Its characterization capabilities are due to the fact that each element of the periodic table has a unique atomic structure allowing X-rays that are characteristic of an element's atomic structure to be uniquely distinguished from each other. Electron gun Electron Beam Condenser lens Scan coils Objective lens Sample Secondary electron detector Back scattered electron detector Mr. Anil Figure Gulabrao 3.7: Khairnar, Schematic Department representation of Electronics, of scanning N.M.U., electron Jalgaon, Ph.D. microscope. Thesis 2014 3.20

Mosley s law, λ = K/ (Z-σ) 2 (3.5) Where, K= a constant for any discrete transition Z= atomic no of the element σ = nuclear screening constant This equation shows that when a beam of electrons falls on a material, the incident beam may excite an electron in an inner shell, prompting its ejection and resulting in the formation of an electron hole within the atom s electronic structure. An electron from an outer, higher-energy shell then fills the hole, and the difference in energy between the higher-energy shell and the lower energy shell is released in the form of an X-ray. From the Moseley s law, it is evident that each element emits X- rays of a characteristic wavelength. Thus by measuring energy of emitted X-rays, we can detect the elements present. Also by comparing the relative intensities of emitted X-rays corresponding to different elements, their atomic percent can also be determined [25, 26]. 3.3.4 Fourier transforms infrared (FTIR) studies Studies of the spontaneous orientation of dipole moment in semiconductors are carried out with a non destructive tool of analysis by means of infrared spectroscopy which can give information on atomic arrangement and inter atomic forces in the crystal lattice. It is possible to investigate how the infrared vibrational frequencies and thus the inter-atomic forces are affected by the onset of the semiconductor states. If the two energy levels E 1 and E 2 are placed in an electromagnetic field and the difference in the energy between the two states is equal to a constant 'h' multiplied by the frequency of the incident radiation, a transfer of energy between the molecules can occur, giving therefore; ΔE = hν (3.6) Where, the symbols have their usual meanings. When the ΔE is positive the molecule absorbs energy; when ΔE is negative, radiation is emitted during the energy transfer and emission spectra are obtained. When the energies are such that the equation (3.6) is satisfied, a spectrum unique to the molecule under investigation is obtained. The spectrum is usually represented as a plot of the intensity Vs the frequencies and peaks occur when the condition (equation 2.9) is satisfied. Frequency Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.21

ranges that can be encountered in this spectrum vary from those of 'γ' rays, which have wavelength of about 10-10 cm to radio waves which have wavelength of 10 10 cm. The most of spectroscopic investigation are carried out in a relatively small portion of spectrum close to visible light. This region includes UV, visible and IR region and is arbitrarily defined as being between wavelength of 10-6 cm and 10-3 cm. Both the atoms and molecules give rise to spectra but they differ from each other. The difference between the atomic and molecular spectra lies in the nature of energy levels involved in the transitions. In the atom, the absorption represents transition between the different allowed levels for the orbital electrons. In case of molecules, the atoms within the molecules vibrate and the molecule as a whole rotates and the total energy contributions are represented by the equation [27-28] E tot = E elect + E vib + E rot + E trans (3.7) Where, E elect is the electronic energy, E vib is the vibrational energy, E rot is the rotational energy and E trans is the translation energy. The separate energy levels are quantized and only certain transitions of electronic, vibrational and rotational energy are possible. Translational energy is usually sufficiently small to be ignored. The vibrational spectrum of a molecule is considered to be a unique physical property and is a characteristic of the molecule. As such, the infrared spectrum can be used as a Figure 3.8: Schematic representation of FTIR spectrometer. finger print for identification, in support of X-ray diffraction technique for the purpose of characterization, the schematic representation shown in figure 3.8. Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.22

3.3.5 UV-Vis studies The equilibrium situation in semiconductor can be disturbed by generation of carriers due to optical photon absorption. Optical photon incident on any material may be reflected, transmitted or absorbed. The phenomena of radiation absorption in a material is all together considered to be due to i) inner shell electrons, ii) valence band electrons, iii) free carriers including electrons and iv) electrons bound to localized impurity centers or defects of some type. In the study of fundamental properties of the semiconductors, the absorption by the second type of electrons is of great importance. In an ideal semiconductor at absolute zero temperature, the valence band would be completely full of electrons, so that electron could not be excited to higher energy state from the valence band. Absorption of quanta of sufficient energy tends to transfer of electrons from valence band to conduction band. The optical absorption spectra of semiconductors generally exhibits a sharp rise at a certain value of the incident photon energy which can be attributed to the excitation of electrons from the valence band to conduction band (may also involve acceptor or donor impurity levels, traps, excitons etc.). The conservation of energy and momentum must be satisfied in optical absorption process. Basically, there are two types of optical transitions that can occur at the fundamental edge of the crystalline semiconductor, direct and indirect. Both involve the interaction of an electromagnetic wave with an electron in the valence band, which is across the fundamental gap to the conduction band. However, indirect transition involves simultaneous interaction with lattice vibration. Thus, the wave vector of the electron can change in the optical transition. The momentum change being taken or given up by phonon. Direct interband optical transition involves a vertical transition of electrons from the valence band to the conduction band such that there is no change in the momentum of the electrons and energy is conserved as shown in figure 3.9 (a). The optical transition is denoted by a vertical upward arrow. The forms of the absorption coefficient as a function of photon energy (h ) depend on energy of N(E) for the bands containing the initial and final states [29]. For simple parabolic bands and for direct transitions; = A (h Eg) n / h (3.8) Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.23

Where, A is a constant depending upon the transition probability for direct transition, n = 1/2 or 3/2 depending on whether the transition is allowed or forbidden in the quantum mechanical sense and E g is the optical gap. E Conduction Band k ' Conduction Band h = Eg O Valance Band k k k ' k k Valance Band (a) Figure 3.9: The direct interband of optical transitions for a) direct band-gap and b) indirect band-gap of semiconductors (The transitions are represented by vertical arrow). (b) Let s visualize a situation given in figure 3.9 (b) where interband transition takes place between different k states. Since these must satisfy the momentum conservation laws, the only way such transition can take place is through the emission or absorption of a phonon with wave vector q i.e. k' q = k + K (3.9) The transitions defined by equation are termed as indirect transitions. For indirect transitions = A (h Eg) n / h (3.10) For allowed transition n = 2 and for forbidden transitions n = 3. The band gap energy Eg is determined by extrapolating the linear portion of the plot of ( h ) n against h to the energy axis at = 0. While, discussing the optical absorption edges observed in amorphous semiconductors, the following assumptions are made: (a) the matrix elements for the Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.24

electronic transitions are constant over the range of photon energies of interest and (b) K conservation selection rule is relaxed. This assumption is made in amorphous semiconductors because near the band edges at least, k k and thus k is not a good quantum number. On E K diagram such transitions would be non vertical. However, no phonon absorption or emission processes are raise to conserve momentum and all the energy required is provided by the incident photons. Such transitions are termed opposed to indirect [30]. Without knowledge of the form of N(E) at the band edges, and under the assumption of parabolic bands, the absorption in many amorphous material is observed to obey the relation with n = 2. Thus absorption edge of many amorphous semiconductors can be described by a simple power law, at least over a limited range of the absorption coefficients, which enables an optical gap Eg to be defined. 3.3.6 Ellipsometer An ellipsometer enables to measure the the dielectric properties refractive index and the thickness of thin films. The instrument relies on the fact that the reflection at a dielectric interface depends on the polarization of the light while the transmission of light through a transparent layer changes the phase of the incoming wave depending on the refractive index of the material. It is simple non-contact; nondestructive optical measurement technique can be made in air, vacuum or hostile environments. Ellipsometry can be used to characterize composition, roughness, thickness (depth), crystalline nature, doping concentration, electrical conductivity and other material properties. It is very sensitive to the change in the optical response of incident radiation that interacts with the material being investigated. Typically, the measured signal is the change in polarization as the incident radiation (in a known state) interacts with the material structure of interest (reflected, absorbed, scattered, or transmitted). The polarization change is quantified by the amplitude ratio, Ψ, and the phase difference, Δ (defined below). Because the signal depends on the thickness as well as the materials properties, ellipsometry can be a universal tool for contact free determination of thickness and optical constants of films of all kinds. Upon the analysis of the change of polarization of light, ellipsometry can yield information about layers that are thinner than the wavelength of the probing light itself, even down to a single atomic layer. Ellipsometry can probe the complex refractive index or dielectric function tensor, which gives access to Mr. Anil Gulabrao Khairnar, Department of Electronics, N.M.U., Jalgaon, Ph.D. Thesis 2014 3.25