Recent progress in nanoparticle photoresist development for EUV lithography

Similar documents
Current Status of Inorganic Nanoparticle Photoresists

Takeo Watanabe Center for EUVL, University of Hyogo

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Development of Lift-off Photoresists with Unique Bottom Profile

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Pattern Transfer- photolithography

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Fundamental aspects of Photosensitized chemically amplified resist (PSCAR) and CAR: How to overcome RLS trade-off and photon shot noise problems

EUVL Readiness for High Volume Manufacturing

Resist material for negative tone development process

Photolithography II ( Part 1 )

Top down and bottom up fabrication

Introduction. Photoresist : Type: Structure:

Supplementary Information

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

EUV Reflectometry for Determining the Optical Properties of Photoresists and Underlayer Materials Upon Irradiation at 13.5-nm

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

Optical Proximity Correction

Dan Smith 2016 EUV Mask Pellicle TWG San Jose CA 21 Feb 2016

Introduction to Photolithography

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

High Accuracy EUV Reflectometry and Scattering at the Advanced Light Source

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

There's Plenty of Room at the Bottom

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Carrier Transport by Diffusion

Lecture 8. Photoresists and Non-optical Lithography

Photolithography 光刻 Part II: Photoresists

Neutron Reflectometry of Ferromagnetic Arrays

QsT/ Recently, chemically amplified EUV photoresists have been developed which

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

EUV Lithography Towards Industrialization

Effect of PAG Location on Resists for Next Generation Lithographies

A Parameter Extraction Framework for DUV Lithography Simulation

Extreme ultraviolet induced chemical reactions in photoresists and model systems

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Study of Deprotection Reaction during Exposure in Chemically Amplified Resists for Lithography Simulation

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

More on Stochastics and the Phenomenon of Line-Edge Roughness

Technology Choices, Challenges and Timing Requirements for Nanolithography at the 32nm Node and Beyond

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

EUREKA: A new Industry EUV Research Center at LBNL

Direct write electron beam patterning of DNA complex thin films

Analysis of carbon contamination on EUV mask using CSM/ ICS

Surface Imaging Through Silylation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

High Optical Density Photomasks For Large Exposure Applications

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

Current status, challenges, and outlook of EUV Lithography for High Volume Manufacturing

Patterning Challenges and Opportunities: Etch and Film

Study on Improved Resolution of Thick Film Resist (Verification by Simulation)

Figure 1 below shows the generic process flow of an LELE method of double patterning.

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

EUV Resist-Fundamental Research

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

EUV Lithography Status and Key Challenges for HVM Implementation

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

A Novel Self-aligned and Maskless Process for Formation of Highly Uniform Arrays of Nanoholes and Nanopillars

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material

Seiichi Tagawa, Satoshi Enomoto, Akihiro Oshima

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

IC Fabrication Technology

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

A Novel Approach to the Layer Number-Controlled and Grain Size- Controlled Growth of High Quality Graphene for Nanoelectronics

5. Photochemistry of polymers

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Molecular Glass Resist with Organic Developer

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

520/ Photolithography (II) Andreas G. Andreou

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

Screening of basic resist materials and PAGs for EUV-Lithography

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

DUV Positive Photoresists

Next: 193nm Lithography

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography

Measurement of the role of secondary electrons in EUV resist exposures

EE143 LAB. Professor N Cheung, U.C. Berkeley

GENERAL ENGINEERING AND RESEARCH. Nano Capsule CMP Slurries: Enabling Localized Control of Chemical Exposure

Laboratory instruction SENSOR DEVICES

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Lecture 0: Introduction

EUV ablation. C. Liberatore1,2, A. Bartnik5, K. Mann4, M. Müller4, L. Pina2, L. Juha3, J. J. Rocca6, A. Endo1, T. Mocek1

SMALL MOLECULE PHOTORESIST MATERIALS FOR NEXT GENERATION LITHOGRAPHY. A Dissertation. Presented to the Faculty of the Graduate School

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

M oore s law indicates that the number of transistors in integrated circuits should double about every two

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Transcription:

Recent progress in nanoparticle photoresist development for EUV lithography Kazuki Kasahara ab, Vasiliki Kosma b, Jeremy Odent b, Hong Xu b, Mufei Yu b, Emmanuel P. Giannelis b, Christopher K. Ober b a JSR Corporation, b Cornell University 1

Contents 1. Introduction 2. Litho performance improvement study - PAG study - Ligand study 3. Summary 2

Contents 1. Introduction 2. Litho performance improvement study - PAG study - Ligand study 3. Summary 3

NP design Process flow Si wafer NP design and process flow 2~3nm NP coating Inorganic Cores Small molecular size metal oxide Reasonable absorbance of EUV Good etch resistance Organic Ligands Multiple carboxylic acid choices Dispersion in organic solvents NP film PEB Soft bake EUV Exposure Negative tone Nanoparticle photoresist is attractive material due to the very high sensitivity. Mask Development with organic solvent Litho performance Exposed at NXE3300 Exposed at B-MET Sensitivity: 7mJ/cm 2 Resolution: 27nmLS JSR EUVLsymposium 2015 4

Plausible Patterning Mechanism Ligand dissociation w/euv exposure Ligand exchange w/euv exposure Condensation reaction w/euv exposure 1. Ligand exchange, 2. Ligand dissociation and 3. Condensation reaction seems to be occurred in parallel. 5

Contents 1. Introduction 2. Litho performance improvement study - PAG study - Ligand study 3. Summary 6

NP litho improvement concept Target Concept Item Scum improvement Accelerate dissolution at unexposed area Better ligand stability for suppress side reaction Higher dissolution rate PAG application Lower pka ligand application Exposed at NXE3300 Exposed at B-MET Sensitivity: 7mJ/cm 2 Resolution: 27nmLS Scum need to be improved!! 1. Higher dissolution rate PAG application and 2. Lower pka ligand application were investigated for scum improvement. 7

Film Thickness (%) E-beam(JEOL JBX9500) Exposure Result - PAG variation study 1/3 - Resist composition: Zirconium type NP, PAG, Solvent QCM evaluation result Higher dissolution rate PAG 100 Higher dissolution rate - w/ Reference PAG - w/ PAG-A 0 0 20 40 Development Time (s) Reference PAG PAG-A Higher dissolution rate PAG-A definitely showed scum improvement from reference PAG. 8

Film Thickness (%) Higher dissolution rate PAG EUV(B-MET) Exposure Result - PAG variation study 2/3 - Resist composition: Zirconium type NP, PAG, Solvent 100 QCM evaluation result Higher dissolution rate - w/ Reference PAG - w/ PAG-A Dose: 2.9mJ 30nmLS Dose: 6.0mJ 30nmLS 0 0 20 40 Development Time (s) Reference PAG PAG-A PAG-A showed better EUV lithography performance, especially better scum performance, than reference PAG. 9

Higher dissolution rate PAG EUV(B-MET) Exposure Result - PAG variation study 3/3 - Resist composition: Zirconium type NP, PAG, Solvent Reference PAG PAG-B PAG-C PAG-A 5.0mJ, 26nmLS 5.0mJ, 26nmLS 8.0mJ, 26nmLS 8.0mJ, 26nmLS Lower PAG dissolution rate to developer Higher PAG dissolution rate strongly affects NP lithography performance, especially scum, improvement. 10

Lower pka ligand pka EUV(B-MET) Exposure Result - Ligand study - Resist composition: Zirconium type NP, PAG, Solvent Lower pka Ligand-A Ligand-B 8.0mJ, 26nmLS Ligand-A 22.0mJ, 26nmLS Lower pka ligand-b Lower pka ligand-b was tried for suppressing the side reaction. Scum improvement was observed with lower pka ligand-b. 11

Lower pka ligand EUV(NXE3300B) Exposure Result - Current best result at IMEC - 27nmL/54nmP 27nmL/54nmP w/ PAG-A, Ligand-B EUVLsymposium 2015 Session 5: EUV Resist 1 Satoshi Dei, JSR Micro N.V. Resolution data => 21nmL/42nmP 20nmL/40nmP Scum improvement was achieved using PAG and ligand technique. Further improvement study will be continued. 12

EUV Photoabsorption (cm2/gm) Cornell Univ. NP talk in SPIE conference [9776-3] 2/22/2016 1:50pm~ Recent progress in nanoparticle photoresists development for EUV lithography [9779-4] 2/22/2016 2:30pm~ Positive tone oxide nanoparticle EUV (ONE) photoresists From Prof. Ober 2.00E+05 1.50E+05 Atomic Data and Nuclear Data Tables, 54, 181-342 (1993) Mask 1.00E+05 5.00E+04 0.00E+00 O Zn In Ti H C Zr Atomic Number Hf Negative tone Positive tone Tomorrow, Cornell University has 2 talks about nanoparticle photoresists. 13

Summary Cornell Univ. and JSR have started a collaboration work to accelerate NP research. NP scum issue can be improved with PAG or ligand optimization. New metal core study has been started. Nanoparticle photoresists have a great deal of room for EUV litho performance improvement. 14

The authors gratefully thank to, for funding Acknowledgement Prof. Ober, Prof. Giannelis Ober group members for EUV exposure opportunity JSR Micro, Inc. Rama Ayothi for B-MET test support JSR Micro, NV Satoshi Dei for IMEC test support KAUST-CU 15

16

Appendix 17

EUV(B-MET) Exposure Result - PAG variation study summary - Resist composition: Zirconium type NP, PAG, Solvent without PAG Reference PAG (Low dissolution rate) Higher dissolution rate PAG PAG-B (Low dissolution rate) 30nmLS PAG-C (High dissolution rate) 5.0mJ, 26nmLS PAG-A (High dissolution rate) 5mJ, 26nmLS PAG-A + Ligand-B 8.0mJ, 26nmLS 8.0mJ, 26nmLS 22.0mJ, 26nmLS PAG strongly affects NP lithography performance. Higher dissolution rate design showed better performance. 18