Current Status of Inorganic Nanoparticle Photoresists

Similar documents
Recent progress in nanoparticle photoresist development for EUV lithography

Molecular Organometallic Resists for EUV (MORE) October 6, 2013

Supplementary Information

Introduction. Photoresist : Type: Structure:

RLS Trade-Off: Questions about Molecular Size and Quantum Yield

Synthesis and Property of Tellurium-Containing Polymer for Extreme Ultraviolet Resist Material

Development of Lift-off Photoresists with Unique Bottom Profile

Extreme ultraviolet induced chemical reactions in photoresists and model systems

Takeo Watanabe Center for EUVL, University of Hyogo

Effect of PAG Location on Resists for Next Generation Lithographies

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Resist material for negative tone development process

Resist Materials Issues beyond 22 nm-hp Patterning for EUV Lithography

Copyright 1997 by the Society of Photo-Optical Instrumentation Engineers.

Ali Hayek, a Yongan Xu, b Takashi Okada, a Stephen Barlow, a Xuelian Zhu, b Jun Hyuk Moon, b Seth R. Marder, * a and Shu Yang* b

Lecture 8. Photoresists and Non-optical Lithography

Is an EUV Film Quantum Yield of 30 Possible?

Screening of basic resist materials and PAGs for EUV-Lithography

Development of Photosensitive Polyimides for LCD with High Aperture Ratio. May 24, 2004

Optical Proximity Correction

Surface and line-edge roughness in solution and plasma developed negative tone resists: Experiment and simulation

Orthogonal Processing: A New Strategy for Patterning Organic Electronics

SMALL MOLECULE PHOTORESIST MATERIALS FOR NEXT GENERATION LITHOGRAPHY. A Dissertation. Presented to the Faculty of the Graduate School

EUV Resist-Fundamental Research

Lithographic Effects Of Acid Diffusion In Chemically Amplified Resists

Novel Molecular Materials Based on Noria and Double Calixarene (Beryllus) for EB and EUV Resist Systems

Photolithography 光刻 Part II: Photoresists

Australian Journal of Basic and Applied Sciences. Characteristic of Photocurable Organic/Inorganic Hybrids Utilizing Acid Proliferation Reactions

NanoImprint Materials. March, IBM Almaden Research Center

Photolithography II ( Part 1 )

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Techniques for directly measuring the absorbance of photoresists at EUV wavelengths

Simulation and characterization of surface and line edge roughness in photoresists before and after etching

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Laser Lithography System. d Technology (SPST), (C) フォトポリマー学会 Rights データはフォトポリマー学会からの許諾を得て公開しています

Molecular Glass Resist with Organic Developer

Polymer Matrix Effects on EUV Acid Generation

Understanding electron energy loss mechanisms in EUV resists using EELS and first-principles calculations

DUV Positive Photoresists

Modeling of Environmentally Friendly Siloxane- Based Lithography Solvents

Methodology of modeling and simulating line-end shortening effects in deep-uv resist

SYNTHESIS AND PHOTOSENSITIVE PROPERTIES OF UV-CURABLE/ALKALI-SOLUBLE RESIN AS NEGATIVE PHOTORESIST

More on Stochastics and the Phenomenon of Line-Edge Roughness

ORGANIC - BRUICE 8E CH MASS SPECT AND INFRARED SPECTROSCOPY

Organometallic Carboxylate Resists for EUV with High Sensitivity

Introduction to Photolithography

Measurement of the role of secondary electrons in EUV resist exposures

Radical Initiation 2017/2/ ) Thermal Decomposition of Initiators

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

QsT/ Recently, chemically amplified EUV photoresists have been developed which

Simulation Based Formulation of a Non-Chemically Amplified Resist for 257 nm Laser Mask Fabrication

Supporting Information. for. Angew. Chem. Int. Ed. Z Wiley-VCH 2004

Exploration of non-chemically amplified resists based on chain-scission mechanism for 193 nm lithography

A Parameter Extraction Framework for DUV Lithography Simulation

Surface Imaging Through Silylation

Pattern Transfer- photolithography

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

Line-Edge Roughness and the Impact of Stochastic Processes on Lithography Scaling for Moore s Law

5. Photochemistry of polymers

EUREKA: A new Industry EUV Research Center at LBNL

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Spring 2009.

Polymer Systems and Film Formation Mechanisms in High Solids, Powder, and UV Cure Systems

Swing Curves. T h e L i t h o g r a p h y T u t o r (Summer 1994) Chris A. Mack, FINLE Technologies, Austin, Texas

Photo-Curable Siloxane Hybrid Material Fabricated by Thiol-Ene Reaction of Sol-Gel Synthesized Oligosiloxanes

Optimizing RLS. Seiichi Tagawa. The Institute of Scientific and Industrial Research Osaka University and JST-CREST

Improving resist resolution and sensitivity via electric-field enhanced postexposure baking

Photonics applications 5: photoresists

Preparation and Characterization of Organic/Inorganic Polymer Nanocomposites

ORGANIC - BROWN 8E CH INFRARED SPECTROSCOPY.

Exploration of non-chemically amplified resists based on dissolution inhibitors for 193 nm lithography

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

EUVL Readiness for High Volume Manufacturing

FEM Modeling of Shrinkage Effects in Negative Tone Photoresists

Next: 193nm Lithography

Chemistry 2050 Introduction to Organic Chemistry Fall Semester 2011 Dr. Rainer Glaser

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Photoresists for Screen Printing Plates with High Resolution and Sensitivity Using Thiol-ene Reaction

ZEP520 ZEP520. Technical Report. ZEON CORPORATION Specialty Materials Division. High Resolution Positive Electron Beam Resist.

Chemistry 216. First Exam (March 16, 2010) (1 hr 15 min, 80 points) Dr. Kyoung Moo Koh. Lab section. GSI name. Name Please print.

Application of Stochastic Modeling to Resist Optimization Problems

EUV Lithography Status and Key Challenges for HVM Implementation

Copyright 1999 by the Society of Photo-Optical Instrumentation Engineers.

Photoinitiation, Photopolymerization, and Photocuring

Chen et al. (45) Date of Patent: Dec. 5, (54) EFFECTIVE PHOTORESIST STRIPPING (56) References Cited

Roy Tess Award Cliff Schoff. Delayed (Latent) Catalysis in Coatings Werner J. Blank Consultant

A Physically Based Model for Predicting Volume Shrinkage in Chemically Amplified Resists

DQN Positive Photoresist

1 Answer. 2 Answer A B C D

Copyright 2001 by the Society of Photo-Optical Instrumentation Engineers.

UV-POLYMERIZATION IN THE PRESENCE OF NANOFILLERS CHAP Introduction

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Photosensitive polyimides without side chain: negative-tone reaction development patterning

ORGANIC - EGE 5E CH UV AND INFRARED MASS SPECTROMETRY

Supporting Information

Monitoring EUV Reticle Molecular Contamination on ASML s Alpha Demo Tool

Fabrication of Sub-Quarter-Micron Grating Patterns by Employing Lithography

Section 3: Etching. Jaeger Chapter 2 Reader

(12) (10) Patent No.: US 7, B2 Geng et al. (45) Date of Patent: Jun. 26, 2007

Transcription:

Prof. Christopher K. ber Department of Materials Science and Engineering, Cornell University, Ithaca NY Current Status of Inorganic Nanoparticle Photoresists Markos Trikeriotis, Marie Krysak, Yeon Sook Chung, Christine uyang, Emmanuel Giannelis (Cornell) Brian Cardineau, Robert Brainard (CNSE, Albany) CRNELL Mark Neisser, Kyoungyong Cho (Sematech)

Presentation verview Introduction to inorganic photoresists Lithographic performance highlights: EUV patterning Etching and pattern transfer Versatile resist platform: Dual-tone capability Alternative formulations EUV absorbance optimization CRNELL

Inorganic Photoresist Platform Why Inorganic Photoresist? High resolution: High aspect ratio Pattern collapse X Thinner film: Improved patterning ü Poor pattern transfer X High etch-resistance photoresist: Improved pattern transfer ü Advantages: Nanoparticles are soluble in PGMEA and other common coating solvents. Choice of the organic ligands can alter the photoresist chemistry: both positive tone and negative tone patterning. EUV absorbance, sensitivity and etch resistance can be fine-tuned by changing the combination and ratios of metal oxide, organic ligand and photoactive compound CRNELL

Resist Formulation Spin coating solvent: PGMEA HfMAA or ZrMAA: 5-10% w/v propylene glycol monomethyl ether acetate Photoactive compound: 1-10% w/w relative to nanoparticle mass Me Me Photoinitiator or PAG dimethoxy phenyl acetophenone CRNELL

Absorption optimization Film absorption depends on atomic composition and density µ = N A ρ MW i x iσ α i rganic/inorganic hybrid Inorganic: Hf 2 Zr 2 high density materials Hf has higher absorbance than Zr at 13.5 nm rganic: Lower density Photo absorption crosssection (cm 2 /mol) Zr Hf Absorption optimization: Hf:Zr ratio rganic content film density 0 10 20 30 40 50 60 70 80 Atomic Number CRNELL

EUV Lithography Highest EUV sensitivity reported to date! SuMMIT analysis: CD = 26.1 ± 0.11 nm LWR = 6.0 ± 0.10 nm LER = 3.8 ± 0.07 nm SuMMIT analysis: CD = 21.5 ± 0.58 nm LWR = 9.0 ± 0.18 nm LER = 5.6 ± 0.18 nm Zr-MAA + PAG Dose: 4.2 mj/cm 2 Half pitch 34nm 32nm 30nm 28nm Zr-MAA + PAG Dose: 16.5 mj/cm 2 CRNELL

Etch Resistance / Pattern Transfer Etch rate comparison of PHST and Hf-MAA resist 2 plasma treatment has no detrimental effect on pattern transfer 200 Film thickness (nm) 150 100 50 4.4 nm/sec 0.17 nm/sec PHST HfMAA SF 6 / 2 pattern transfer 0 0 30 60 90 120 150 Time (sec) HfMAA has 25 times better etch resistance than PHST CF 4 pattern transfer CRNELL

E-beam and 193i Lithography HfMAA + DPAP 193 dry, negative tone, 150nm HfMAA + DPAP E-beam, negative tone HfMAA + DPAP 193 immersion, negative tone, 40nm CRNELL

Inorganic Photoresist Platform Metal oxide nanoparticles with organic surface ligands Many possible combinations of inorganic cores, organic ligands and photoactive compounds Photoactive compound Inorganic cores to date: Zr 2 or Hf 2, other metal oxides can be used rganic shell: Carboxylic and sulfonic acids bind strongly. Tailored ligands. Photoactive compounds: Photoradical initiator or PAG CRNELL

First Hypothesized Mechanism Negative tone: Crosslink MAA via radical mechanism Positive tone unlikely Hf 2 H H Hf 2 H H H Hf 2 Hf 2 Hf 2 Hf 2 CRNELL

Dual-Tone Photoresist Dual-tone photoresist: Dual-Tone Capability The same Hf or Zr based films can be patterned on both tones only by changing the postexposure processing (+) HfMAA (-) (+) ZrMAA (-) CRNELL

Alternative Cores and Ligands H H Hf 2 H H H ü Dual-tone ü EUV ü E-beam, 193, DUV Alternative ligands: HfMAA H H Zr 2 H H H ü Dual-tone ü EUV ü E-beam, DUV ZrMAA H H Hf 2 H H H ü Dual-tone ü E-beam, DUV HfIBA CRNELL

Synthesis and Characterization 100 98 96 Film FT-IR of Hf-MAA 94 92 90 88 86 84 Transmittance (%) 82 4000 3500 3000 2500 2000 1500 1000 500 Wavenumber (cm-1) 30 25 Particle size - DLS 2-3 nm Hf- MAA Zr- MAA Number (%) 20 15 10 5 0 1 10 100 Particle diameter (nm) rganic content TGA Controlled MAA concentration CRNELL

Negative tone Not CAR Mechanism rganic alcohol developer, no PEB Photoinitiator or photoacid generator aids ligand crosslinking IR also suggests a change in the bonds corresponding to the binding ligands Positive tone Aq. base developer, PEB needed Solubility of unexposed regions is changed with PEB IR of Hf-MAA with 5 wt% PAG Difference between unexposed and exposed films 1.01 1.005 1 0.995 0.99 0.985 0.98 Transmittance (%) 2000 1900 1800 1700 1600 1500 1400 1300 1200 1100 0.975 1000 Wavenumber (cm-1) CRNELL

Summary Highly sensitive, high resolution EUV resist based on Hf-xide and Zr-xide Excellent etch resistance Both negative (expected) and positive (unexpected) tone New non-car mechanism for pattern formation ther cores and ligands possible and this will lead to further improvements CRNELL