Ion implantation Campbell, Chapter 5

Similar documents
Ion Implantation. alternative to diffusion for the introduction of dopants essentially a physical process, rather than chemical advantages:

Ion Implantation ECE723

EE 212 FALL ION IMPLANTATION - Chapter 8 Basic Concepts

ION IMPLANTATION - Chapter 8 Basic Concepts

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

Lecture 5. Ion Implantation. Reading: Chapter 5

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

Chapter 9 Ion Implantation

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Processing of Semiconducting Materials Prof. Pallab Banerji Department of Metallurgy and Material Science Indian Institute of Technology, Kharagpur

Fast Monte-Carlo Simulation of Ion Implantation. Binary Collision Approximation Implementation within ATHENA

Chapter 8 Ion Implantation

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS

September 21, 2005, Wednesday

Make sure the exam paper has 9 pages (including cover page) + 3 pages of data for reference

Secondary ion mass spectrometry (SIMS)

Lab1. Resolution and Throughput of Ion Beam Lithography.

Lecture 22 Ion Beam Techniques

Secondary ion mass spectrometry (SIMS)

MS482 Materials Characterization ( 재료분석 ) Lecture Note 5: RBS. Byungha Shin Dept. of MSE, KAIST

EE-612: Lecture 22: CMOS Process Steps

Fabrication Technology, Part I

Accelerated ions. ion doping

Make sure the exam paper has 7 pages (including cover page) + 3 pages of data for reference

Interaction of ion beams with matter

Secondary Ion Mass Spectrometry (SIMS) Thomas Sky

Xing Sheng, 微纳光电子材料与器件工艺原理. Doping 掺杂. Xing Sheng 盛兴. Department of Electronic Engineering Tsinghua University

Review of Semiconductor Fundamentals

Analysis of Ion Implantation Profiles for Accurate Process/Device Simulation: Analysis Based on Quasi-Crystal Extended LSS Theory

DIFFUSION - Chapter 7

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Gaetano L Episcopo. Scanning Electron Microscopy Focus Ion Beam and. Pulsed Plasma Deposition

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Lab 3. Ion Implantation

Plasma Deposition (Overview) Lecture 1

High-Precision Evaluation of Ultra-Shallow Impurity Profiles by Secondary Ion Mass Spectrometry

Calculation of Ion Implantation Profiles for Two-Dimensional Process Modeling

Lecture 12 Ion Implantation

ION BEAM TECHNIQUES. Ion beam characterization techniques are illustrated in Fig

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey

Feature-level Compensation & Control. Process Integration September 15, A UC Discovery Project

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Dopant Diffusion. (1) Predeposition dopant gas. (2) Drive-in Turn off dopant gas. dose control. Doped Si region

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

object objective lens eyepiece lens

CMOS. Technology Doping Profiles. Simulation of 0.35 Ixm/0.25 INTRODUCTION

Rutherford Backscattering Spectrometry

Lecture 0: Introduction

Introduction to Photolithography

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Dopant and Self-Diffusion in Semiconductors: A Tutorial

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Manufacturable AlGaAs/GaAs HBT Implant Isolation Process Using Doubly Charged Helium

Quiz #1 Practice Problem Set

Modelling for Formation of Source/Drain Region by Ion Implantation and Diffusion Process for MOSFET Device

IC Fabrication Technology

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Single ion implantation for nanoelectronics and the application to biological systems. Iwao Ohdomari Waseda University Tokyo, Japan

Silver Thin Film Characterization

Diffusion and Ion implantation Reference: Chapter 4 Jaeger or Chapter 3 Ruska N & P Dopants determine the resistivity of material Note N lower

Section 6: Ion Implantation. Jaeger Chapter 5

Gold Nanoparticles Floating Gate MISFET for Non-Volatile Memory Applications

Ion irradiation induced damage and dynamic recovery in single crystal silicon carbide and strontium titanate

EEE4106Z Radiation Interactions & Detection

Ajay Kumar Gautam Asst. Prof. Electronics & Communication Engineering Dev Bhoomi Institute of Technology & Engineering Dehradun UNIT II

ICPMS Doherty Lecture 1

ECE Semiconductor Device and Material Characterization

Implantation isolation in AlGaAs/GaAs structures

Diffusion in Extrinsic Silicon and Silicon Germanium

Chapter 12: Electrical Properties. RA l

Electrochemical Society Proceedings Volume

A. Burenkov, P. Pichler, J. Lorenz, Y. Spiegel, J. Duchaine, F. Torregrosa

Secondary Ion Mass Spectroscopy (SIMS)

Chapter 8 Ion Implantation

Imaging Methods: Scanning Force Microscopy (SFM / AFM)

Secondary Ion Mass Spectrometry (SIMS)

Semiconductor physics I. The Crystal Structure of Solids

EE143 LAB. Professor N Cheung, U.C. Berkeley

EFFECT OF REACTOR GEOMETRY ON ION ENERGY DISTRIBUTIONS FOR PULSED PLASMA DOPING (P 2 LAD)*

EE130: Integrated Circuit Devices

Semiconductors Reference: Chapter 4 Jaeger or Chapter 3 Ruska Recall what determines conductor, insulator and semiconductor Plot the electron energy

Accelerated Neutral Atom Beam Processing of Ultra-thin Membranes to Enhance EUV Transmittance. February 22, 2015

Energetic particles and their detection in situ (particle detectors) Part II. George Gloeckler

Hydrogen Ion-Implantation in Smart- Cut SOI Fabrication Technique Term Project Joy Johnson

Introduction into Positron Annihilation

Surface analysis techniques

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

de dx where the stopping powers with subscript n and e represent nuclear and electronic stopping power respectively.

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Max-Planck-Institut für Plasmaphysik, EURATOM Association POB 1533, D Garching, Germany

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

RADIATION RESPONSE OF STRAINED SILICON-GERMANIUM SUPERLATTICES. A Thesis MICHAEL SCOTT MARTIN

Semiconductor-Detectors

Improvement of depth resolution of VEPAS by a sputtering technique

Surface physics, Bravais lattice

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

Lecture 1. OUTLINE Basic Semiconductor Physics. Reading: Chapter 2.1. Semiconductors Intrinsic (undoped) silicon Doping Carrier concentrations

Transcription:

Ion implantation Campbell, Chapter 5 background why ion implant? elastic collisions nuclear and electronic stopping ion ranges: projected and lateral channeling ion-induced damage and amorphization basic components of ion implanters

Ion Implantation High Energy Accelerator Force on charged particle F qv x B Magnetic Field B 2mV qr 2 Implanted Dose Q 1 mqa T 0 I t dt 1. Ion Source 2. Mass Spectrometer 3. High-Voltage Accelerator (Up to 5 MeV) 4. Scanning System 5. Target Chamber m mass v = velocity V acceleration potential A = wafer area 2002 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This material is protected under all copyright laws as they currently exist. No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher. For the exclusive use of adopters of the book Introduction to Microelectronic Fabrication, Second Edition by Richard C. Jaeger. ISBN0-201-44494-1.

Why ion implantation? The depth distribution of the implanted species is adjustable and does not depend on equilibrium considerations, making it possible to create impurity atom concentration profiles that cannot be achieved in any other way Ion implantation is a low temperature process that replaces high temperature, long time diffusions The number and the chemical nature and purity of the ions that are implanted can be controlled to a very high degree A host of unusual interactions can occur as an energetic incident ion encounters the target atoms, resulting in new and useful materials modification processes Applicable to all materials semiconductors, ceramics, metals and polymers

Ion-solid interactions (1) In order to understand the ion-implantation process we must examine the physics of ion-solid interactions As the ion traverses the solid it will lose energy: Ion interaction with host atom nuclei -- nuclear stopping (the incident ion and target atom are viewed as hard spheres) Ion interaction with electrons -- electron stopping (the electrons in the target generate a viscous drag on the incident ion) The range is the total distance that an ion travels before it stops The range depends on the relative efficiencies of nuclear versus electronic stopping, so both need to be determined Stopping: de S Sn Se de Range: RE ( ) o dx n dx e 0 E o de de de dx S S 0 E o n e

Ion-solid interactions nuclear stopping Nuclear stopping assumes an elastic interaction between incident ion and substrate and dominates at low energies Atoms are treated as hard spheres with conservation of both energy and momentum before and after the collision b q (note that this simple picture is complicated if the projectiles have attractive or repulsive forces) f

Ion-solid interactions nuclear stopping Lindhard, Scharff and Schiott (LSS) performed the first quantitative calculation of nuclear stopping de Z Z M CN dx n M M 1 2 1 0 12 2 3 2 3 Z1 Z2 1 2 (1= incident ion, 2 = target atom) ev/cm, where C 0 2.810 15 LSS predicts a weak energy dependence with a broad maximum at intermediate energies (1 to 100 kev)

Ion-solid interactions electronic stopping Electronic stopping is much more complicated than nuclear stopping The motion of the incident ion is similar to a particle moving through a viscous liquid viscous drag LSS have also developed an expression for electronic stopping: de Z Z M 1 2 1 2 Ce E k 3 3 34 e E dx 2 3 2 3 e M1M 2 Z 1 Z 2 M Electronic stopping dominates at high energies At low energies, log S e versus log E is approximately linear 32

Range and straggle incident ion R R p DR p DR l Range: the total distance that an ion travels RE ( ) o E o 0 Projected range (R p ): the distance the ion travels perpendicular to the surface Projected straggle (DR p ): uncertainty in R p de de de dx S S Lateral straggle (DR l ): uncertainty perpendicular to R p 0 E o n e

Conservation of momentum: p i p t p 0 Conservation of angular momentum: L i L t L 0 p 0 b p i Conservation of energy: 2 2 2 p i p 0 p t 2m i 2m t 2m 0 p 0 DE E 0 1 sin 2 f E 0 sinf q where θ, φ functions of m s, b, E 0, etc. Scattering potential: Z V (r) q 2 1 Z 2 Z q 2 1 Z 2 e a 4b 4b where a = electron screening distance b 4m i m E t transfer m i m t p t E 0 Figure 5.6 Typical scattering problem. Figure inset shows electrostatic potential as a function of distance between the nuclei. The impact parameter is labeled b.

"Viscous"drag v so electronicstopping S e where k e E Z Z m m 3 2 i t i t m 3 m Z 2 3 Z 2 3 i t i t de dx e k e At high E, viscous model breaks down, & S e peaks E Neutron stopping : (Z s are charge numbers) 0 15 2 Zi Zt S n 2.8x10 ev.cm Z 2 3 Z i 2 3 1 2 t (In fact, S (E) is energy dependent) n Figure 5.7 The total distance that an ion travels in the solid is the range. The projection of this distance along the depth axis is the projected range, R p.

The implant profile Different implanted ions will go into a solid at different depths, even though the implant energy is the same This distribution arises due to the nature of the implant process multilple deflections of each incident ion angular distribution of the ion beam random variations in the implanter hardware A Monte-Carlo simulation (9999 ions) of a 50 kv P- implant into silicon; note the concentration at the surface is much less than the peak concentration of the distribution

Higher moments of the ion distribution We often approximate the impurity concentration as a Gaussian: 2 f x R p N x exp 2 DR 2DR 2 p p Further information comes from the higher moments of the distribution: i m i x Rp Nxdx 0 1 st moment: normalized dose 2 nd moment: (dose)(r p ) 2 3 rd moment:: skewness (related to asymmetry) 0 3 x R Nx p DR 3 p dx 4 th moment: kurtosis (related to Gaussian distortion) 0 4 x R Nx p DR 4 p dx

Ion Implantation Mathematical Model Gaussian Profile 2 Nx N p exp x R p 2 2DR p R p Projected Range DR p Straggle Dose Q = Nxdx 0 2 N p DR p 2002 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This material is protected under all copyright laws as they currently exist. No portion of this material may be reproduced, in any form or by any means, without permission in writing from the publisher. For the exclusive use of adopters of the book Introduction to Microelectronic Fabrication, Second Edition by Richard C. Jaeger. ISBN0-201-44494-1.

Channeling While nuclear and electronic stopping serve as the mechanisms for reducing the depth that an implanted ion reaches, channeling causes the ion to go farther than expected oblique incidence aligned When the incident ion encounters a crystallographic direction with low atomic or electron density (a channel ) it may be steered down that channel by multiple grazing reflections

Aspects of channeling Channeling is especially severe in semiconductors because the covalent bonding results in a relatively open structure (packing efficiency of diamond ~34%) Depends on orientation of substrate surface (<100> versus <111>, exactly oriented versus misoriented) relatively easy to control Depends on the divergence of the ion beam relatively difficult to control Especially troublesome in shallow (low energy) implants of light ions (e.g. B into Si) Difficult to control, so it is best avoided: off-angle implant (~7º) implant through screen oxide to de-channel the beam pre-amorphize (example: Ge into Si)

Implantation damage (1) If Ed is the energy needed to dislodge an atom, then we have the following: 1.DE < E d : the target atoms are not displaced 2.DE ~ E d : simple displacement -- creation of an interstitial atom (the original target atom, now displaced) and a vacancy (where the original target atom used to be) 3.DE >> E d : damage cascade (multiple displacements by both ions and atoms)

Implantation damage (2) The amount of damage that occurs depends both on the energy of the incident ion and the relative masses of the ion and target 10 ev Au + (deposition) damage 1 kev Ar + (sputtering) 100 kev P + (implantation) 1 MeV He + (analysis)

Implantation damage (3) The ion implantation process generates a large number of both interstitials and vacancies (point defects) primary defects Upon subsequent annealing the defects may react with each other to form new combinations secondary defects End-of-range (EOR) dislocation loops are commonly observed after isolated point defects coalesce together Since diffusion in semiconductors is very sensitive to the number and type of point defects present, the diffusion of dopants and other materials may show anomalous behavior following ion implant

Implantation damage (4) light ion disordered regions heavy ion collision cascade light ion transfers a small amount of energy each collision deflected through a large scattering angle displaced target atom will have little energy imparted to it electronic stopping - relatively little damage heavy ion large amount of energy transferred incident ion deflected through a small scattering angle displaced target atoms can produce a large number of displacements nuclear stopping considerable lattice damage in a relatively small volume

The onset of amorphization Depending on energy and ion mass, low ion doses will generate different types of damage cascades Above a critical dose, the damage cascades will overlap and cause an amorphized region to form low dose individual damage cascades high dose a continuous amorphous region

Ion-assisted amorphization Ion implantation can lead to the athermal generation of amorphous materials (i.e. no need to rapidly quench from high temperature) Solid phase epitaxial regrowth of amorphized material (via crystallization from the underlying substrate) leads to a defect-free crystal -- much easier when the layer is fully amorphous and not just defective crystalline The question of what constitutes an amorphous implanted region is still subject to debate The quantitative structural characterization of very thin amorphous layers (ion implanted, SiO 2 ) remains a major problem in materials analysis

High resolution TEM micrograph of ion-amorphized silicon High resolution TEM image of as-implanted Si, 3.5 kv, boron dose 210 15 cm -2

Sidebar -- Rutherford Backscattering Spectrometry (RBS) RBS is one of the most widely used methods for monitoring ion implant-induced damage generation mass analyzer MeV accelerator He + ions at E o ion source multichannel analyzer sample backscattered energetic He + ions at E 1 ion detector E E 1 2 o L NM 2 2 2 M M1 sin q M1 cosq E M M E 2 1 O QP 2 at 180º M M M M 1 2 1 o F HG 2 1 I KJ 2

RBS analyses of ion implanted materials The energies of the backscattered peaks identifies the chemical composition of the sample and gives information on layer thicknesses The change in backscattering yield due to the presence of an amorphous layer gives information on crystalline perfection in an implanted layer Normalized yield 1.6 1.4 1.2 1 0.8 0.6 0.4 0.2 3.5 kv, 180 s, as-implant. 3.5 kv, 60 s, as implant. 3.5 kv, 60 s, annealed unimplanted 0 200 210 220 230 240 250 Channel number

Typical RBS instrumentation It s so big!!

Basic components of ion implant systems ion source acceleration column beam extraction and mass analyzer ion accelerator beam manipulation end station sample manipulation beam monitoring

A full schematic of an ion implanter

Ion sources The Freeman ion source uses a heated filament (sometimes with RF assistance) to ionize a gaseous species and produce ions: gas in perpendicular magnetic field RF antenna ion beam gas out extraction slit If the desired ion does not exist in a gaseous form, it can be heated in an oven inside the ion source to generate a vapor A perpendicular magnetic field causes the electrons from the filament to follow helical paths, thus increasing the probability of ionization

Mass analyzer The ion beam is passed through a magnetic sector that selects a particular ionic species An ion of mass M and charge q B (in) moving at a velocity v in a circular path will experience a force ion in M,v r Mv r 2 qvb The kinetic energy of the ion is given by its extraction voltage: 1 E qvext Mv v 2 2qV M and 2 ext r Mv M qb 1 B 2 q Vext

Ion acceleration column low energy ions in high energy ions out The mass-analyzed ions are accelerated by an electrostatic linear accelerator A precision high voltage divider network applies a potential to a series of accelerator rings Since variations in the acceleration potential will change the ion energy and hence its penetration depth, precision high voltage engineering is needed Low energy implants may need both acceleration and deceleration stages HV

Beam manipulation V H V v Horizontal and vertical electrostatic deflection plates are used to raster the beam across the sample to improve implant uniformity Other electrostatic lenses (i.e. quadrupole lens) may be added to alter or improve the beam profile

A typical implanter end stage

End station Besides providing the means to insert and remove wafers from an ion implanter, the end station of a production system will have two important components: a multiple wafer rotation stage that will allow many wafers to be implanted simultaneously, thus both increasing throughput and improving uniformity a Faraday cup that will permit a precise determination of the implant dose by determining the charge carried by the ion beam per unit time multiple wafer rotation platform in an Eaton implanter

Various types of commercial implanters Implanter type Focussed ion beam Maximum ion current (ma) Maximum energies (kev) < 0.001 100 Low energy 0.1 to 2 30 Use direct writing implants shallow junction doping Medium current 2 to 10 200 diffusion implants High current 100 100-200 High energy < 0.05 several MeV buried layer formation deep doping at low temps.

Doping by ion implantation By far the major application of ion implantation in semiconductors is for doping purposes Two main processes are used: the desired doping concentration profile is provided by controlling the implant profile the implant provides a fixed amount of dopant that is subsequently diffused in The deeper the profile, the higher the energy needed The total dose depends on beam current and implant time

Post-implant annealing An as-implanted semiconductor such as silicon is virtually always electrically inactive: the crystal will have a high density of point defects (or may even be amorphous) the implanted dopants will not be located on substitutional lattice sites The implanted wafer must go through a post-implant anneal: the crystallographic damage can be repaired the dopants can diffuse to substitutional sites One of two anneals is usually used: furnace anneal (typically 30 minutes at 600ºC) rapid thermal anneal (typically 10 seconds at 1100ºC)

Typical post-implant anneal results Table 14.1 Sheet resistance of Boron-implanted Silicon following annealing Anneal time, temperature Sheet resistance as implanted 5 sec., 950C 20 sec, 950C 30 sec., 1050C 30 sec., 1100C 1215 /sq. 885 /sq. 325 /sq. 209 /sq.

Implant and annealing uniformity A sheet resistance map of a wafer can be recorded after implantation and post-implant anneal Variations due to both the implant and the anneal can be observed A standard deviation of 2% across the wafer is normal; less is better Large variations will mean that devices fabricated at different locations will have different electrical properties

High resolution TEM micrograph of ion-amorphized and annealed silicon High resolution TEM image of as-implanted Si, 3.5 kv, B dose 210 15 cm -2, annealed 850 C 5 sec + 1050 C 10 sec

Post-implant annealing The annealing behavior of implanted materials can be very complex interaction of implanted atoms, vacancies and interstitials B-implanted Si can show a reverse annealing behavior as point defects agglomerate into extended defects defects serve as a sink for boron atoms; the substitutional boron concentration decreases Only at high temperatures is 100% activation achieved

Ion implantation in silicon device processing Ion implant is widely used as a low-temperature doping process in silicon device fabrication Masks of SiO 2, Si 3 N 4 or polymer photoresist can define specific regions to be implanted Example: p-well implant in CMOS devices (n-type substrate): boron ion implant photoresist (a) 5 -cm n-si substrate selective boron implant SiO 2 (b) p n drive-in diffusion

(c) p n open p-mos source-drain windows (d) p n p + p + source-drain junctions (e) n + n p + n p + n + source-drain junctions

(f) n + n + p n p + grow gate oxides (g) n + n + p n p + open source-drain contacts (h) n + n + p n p + metallization

Shallow junction doping The junction depth beneath the source and drain electrodes in an MOS transistor are one of the most critical features in device design source gate drain S/D junction depth For a 0.18 mm gate length, the S/D junction depth must be 400Å to 600Å Problems include boron channeling, transient-enhanced diffusion, and low throughput (low beam current) at low acceleration voltages

Typical SIMS profiles of boronimplanted shallow junction profiles Concentration(cm -3 ) 10 22 10 21 10 20 10 19 10 18 10 17 10 16 1 khz, 1.8E+15 1 khz, 8.9E+15 10 21 10 Hz, 1.8E+16 Concentration(cm -3 ) 10 22 10 20 10 19 10 18 10 17 10 16 1 khz, 1.8 1 khz, 8.9 10 Hz, 1.8E 10 15 10 15 0 2004006008001000 1200 0 400 800 12001600 Depth (Angstroms) Depth (Angstro 2 kev, as implanted 2 kev, annealed

Transient enhanced diffusion (TED) TED is the observed increase in the diffusion coefficient of dopants (mainly B) at the early stages of an anneal following ion implantation TED causes the tail of the implant distribution to move to greater depths terrible for shallow junction formation Recent (1996) work has shown that TED is due to reactions between silicon interstitials

Buried dielectric layer The formation of a buried electrical insulating layer underneath CMOS devices can lead to a significant improvement in performance This can be accomplished by SIMOX -- Separation by Implantation of Oxygen high dose oxygen implant buried high oxygen layer anneal to form buried SiO 2

IBM commercializes a SIMOX CMOS process (4Q98)

Defect engineering -- Smart-Cut process In many applications it is desirable to have an electricallyinsulating layer buried underneath the surface Problem: how do you bury an amorphous SiO 2 layer under a single crystalline layer? Solution 1: SIMOX (expensive!) Solution 2: the Smart-Cut process The recipe: Ion implant H 2 or He into (and under) a Si surface Compression bond to SiO 2 /Si wafer Anneal to form voids (gas bubbles ) that delaminate a thin Si layer Touch-up polish to smooth surface

The Smart-Cut process starting silicon wafer H 2 ion implant to form voids under the surface SiO 2 Si compression bond to SiO 2 /Si wafer anneal to grow voids for delamination; touch polish

Limitations to current ion implanters dose accuracy and uniformity ion damage to wafers wafer charging and heating impurity contamination ion damage to masking materials instrument footprint cost

Beamline vs. plasma implantation systems Conventional beamline ion implanter (left) Plasma source ion implantation system (right)

Advantages of PSII over beamline implantation systems Non-line-of-sight; can treat large, heavy, and complicated shapes Process time independent of surface area; capable of treating multiple workpieces simultaneously Compatible with more traditional plasma tools and processes High ion doses at low implant voltages Low temperature process

Shallow junction formation in BF 3 plasma-implanted silicon BF 3 implants were performed at - 0.5 kv and -0.7 kv RTA: 800C, 5s+1050C, 10s Define junction depth at 110 17 cm -3 As-implanted junction depths 26 nm /36 nm (-0.5 /-0.7 kv) Annealed junction depths 50 nm /67 nm (-0.5 /-0.7 kv)

Beamline versus plasma implant profiles (as-implanted and annealed) As-implanted junction depth of 3.5 kv plasma implant is comparable to 5 kv BF 2 + beamline implant Plasma implant profile seems to diffuse less (10 to 20 nm shallower) than beamline Transient enhanced diffusion may be suppressed in plasma implant processes