Magnetic Data Storage with Patterned Media

Similar documents
Achieving Tight sigmas in Bit Patterned Media

Anisotropy Distributions in Patterned Magnetic Media

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Nanoimprint Lithography

2D Coding and Iterative Detection Schemes

Three Approaches for Nanopatterning

ADVANCED STORAGE TECHNOLOGY CONSORTIUM RESEARCH PROPOSAL TEMPLATE

Simulation of Ion Beam Etching of Patterned Nanometer-scale Magnetic Structures for High-Density Storage Applications

Enhanced Magnetic Properties of Bit Patterned Magnetic Recording Media by Trench-Filled Nanostructure

SEMATECH Knowledge Series 2010

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Introduction to magnetic recording + recording materials

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

MICRO AND NANOPROCESSING TECHNOLOGIES

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Fabrication of ordered array at a nanoscopic level: context

Passionately Innovating With Customers To Create A Connected World

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Future Magnetic Recording Technologies

Overview of EUV Lithography and EUV Optics Contamination

Lecture 14 Advanced Photolithography

Sensors and Metrology. Outline

Acknowledgements. Presentation Title Date 2

Unconventional Nano-patterning. Peilin Chen

Scanning Probe Microscopy. L. J. Heyderman

Introduction to / Status of Directed Self- Assembly

MSN551 LITHOGRAPHY II

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

A Photonic Crystal Laser from Solution Based. Organo-Lead Iodide Perovskite Thin Films

Hybrid Wafer Level Bonding for 3D IC

Lithography and Etching

Magnetic Recording. by Gaspare Varvaro. Istituto di Struttura della Materia CNR Nanostructured Magnetic Materials Group

Thin Wafer Handling Challenges and Emerging Solutions

PHI 5000 Versaprobe-II Focus X-ray Photo-electron Spectroscopy

Optical Measurements of Critical Dimensions at Several Stages of the Mask Fabrication Process

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

01 Development of Hard Disk Drives

Neutron Reflectometry of Ferromagnetic Arrays

Development status of back-end process for UV-NIL template fabrication

ECC Media Technology. 1. Introduction. 2. ECC Media. Shunji Takenoiri TuQiang Li Yoshiyuki Kuboki

Spectroscopic Critical Dimension technology (SCD) for Directed Self Assembly

Critical Dimension Uniformity using Reticle Inspection Tool

Nanotechnology Fabrication Methods.

High-density data storage: principle

Nanostructures Fabrication Methods

LECTURE 5 SUMMARY OF KEY IDEAS

Towards nanoimprint lithography-aware layout design checking. 25 February 2010 Hayden Taylor and Duane Boning Massachusetts Institute of Technology

S. Mangin 1, Y. Henry 2, D. Ravelosona 3, J.A. Katine 4, and S. Moyerman 5, I. Tudosa 5, E. E. Fullerton 5

Developer-soluble Gap fill materials for patterning metal trenches in Via-first Dual Damascene process

Lecture 8. Photoresists and Non-optical Lithography

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

JOHN G. EKERDT RESEARCH FOCUS

Pattern Transfer- photolithography

High-resolution Characterization of Organic Ultrathin Films Using Atomic Force Microscopy

Optical Proximity Correction

MRAM: Device Basics and Emerging Technologies

Cost of Ownership Considerations for Maskless Lithography

Selective Processes: Challenges and Opportunities in Semiconductor Scaling

SHRINK. STACK. INTEGRATE.

Functional Materials for Advanced Patterning Robert D. Allen. IBM Almaden Research Center

custom reticle solutions

High Optical Density Photomasks For Large Exposure Applications

Model 2300XP PSL & Process-Particle Wafer Deposition System

1

Supplementary Information. Light Manipulation for Organic Optoelectronics Using Bio-inspired Moth's Eye. Nanostructures

Cost Implications of EUV Lithography Technology Decisions

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Electrostatic Discharge (ESD) Breakdown between a Recording Head and a Disk with an Asperity

Fabrication and Domain Imaging of Iron Magnetic Nanowire Arrays

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

ETCHING Chapter 10. Mask. Photoresist

GMR Read head. Eric Fullerton ECE, CMRR. Introduction to recording Basic GMR sensor Next generation heads TMR, CPP-GMR UCT) Challenges ATE

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Nano fabrication by e-beam lithographie

CUSTOM RETICLE SOLUTIONS

Nanostrukturphysik (Nanostructure Physics)

Electron-beam SAFIER process and its application for magnetic thin-film heads

Wafer Charging in Process Equipment and its Relationship to GMR Heads Charging Damage

process dependencies in nanoimprint

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

HDD Reliability Modeling and Failure Prediction

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

TRANSVERSE SPIN TRANSPORT IN GRAPHENE

I. NANOFABRICATION O AND CHARACTERIZATION Chap. 2 : Self-Assembly

EV Group. Engineered Substrates for future compound semiconductor devices

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Nano-Lithography. Edited by Stefan Landis

Supplementary Materials for

The Removal of Nanoparticles from Nanotrenches Using Megasonics

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Fig. 1. Orthogonal solvents to conventional process media.

Lecture 0: Introduction

Nano Engineering & Storage Technology

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

FLCC Seminar. Spacer Lithography for Reduced Variability in MOSFET Performance

Patterning Challenges and Opportunities: Etch and Film

Transcription:

f r e e d o m t o i n n o v a t e f r e e d o m t o i n n o v a t e Magnetic Data Storage with Patterned Media Neil Robertson Hitachi Global Storage Technologies San Jose Research Center Sept 08 1

Technology Roadmap: In Flux Areal Density (Gb/sq.in.) 10000 1000 100 10 1 0.1 0.01 30% / yr Products thermal instability regime Demos 60% / yr Standard FF MR head PRML channel Thin film disk 100% / yr GMR head Perpendicular 1980 1990 2000 2010 DTM? TAR? 2012 BPM BPTAR? 2

Patterned Media: Discrete Track vs. Bit Patterned Media Conventional PMR Media Continuous granular recording layer Multiple grains per bit Boundaries between bits determined by grains Thermal stability unit is 1 grain (~ 6 nm diam.) Discrete Track Media Conventional PMR media, with patterned tracks Multiple grains per bit Eliminates track edge noise and reduces adjacent track interference Thermal stability unit is still 1 grain (~ 6 nm diam.) Bit Patterned Media Highly exchange coupled granular media Multiple grains per island, but each island is a single domain particle Bit locations determined by lithography Therm. stab. unit is 1 island (~15 nm diam.) 3

Modeling a BPM Recording System pooled fabrication tolerance centroid jitter shape jitter pooled synchronization tolerance write synchronization jitter NRO, etc. areal density contours [Tb/in 2 ] σ print =3 nm switching field distribution of the islands Areal Density [Tb/in 2 ] 1 Tb/in 2 design example σh sw 1000 Oe grad(h eff ) 430 Oe/nm periods λ 1 =λ 2 =25.4 nm island size=17.9x17.9x8 nm 3 trenches γ 1 =γ 2 =7.4 nm E b 120 k B T disqualified region of parameter space due to readback jitter or thermal stability σ print =2 nm BER w =10-6 K 1 =2.7x10 5 J/m 3 realistic regime gradient of the effective write field profile thermal stability contours [K 1 V/k B T] at 300 K M. Schabes HGST 4

Bit Patterned vs. Discrete Track Media: Examples at 1 Tbit/in2 Bit Patterned Media (BPM) BAR =1 Pitch = 25nm, Island size =18nm Fabrication tolerance: 1σ ~ 1 nm (size and placement) Discrete Track Media (DTM) BAR=4 Pitch = 47nm, land size = 33nm, groove = 14nm Requires very fine grain media BAR = 4 This BAR places tough demands on write head field and the servo system to due to the high tpi Down track pitch = 13nm, Island size = 9nm Tolerance = 1σ ~ 0.5 nm (size and placement) Even more aggressive patterning 5

Making Pattern Media: Ahead of the ITRS Roadmap 2007 2010 2013 2016 2019 2005 ITRS Roadmap DRAM ½ Pitch (nm) 65 45 193 nm 193 nm immersion with water 193 nm immersion with water 193 nm immersion with other fluids EUV, ML2 DRAM FLASH The semiconductor industry will not provide a lithography solution in 32 EUV 193 nm immersion with other fluids & lenses 193 nm with innovative immersion with water Imprint, ML2 time for patterned media 22 16 EUV Innovative 193 nm immersion Imprint, ML2, Innovative Technology Innovative Technology Innovative EUV, Imprint, ML2, BPM PATTERNED MEDIA Research Required Development Underway Qualification Production Continuous Improvement 6

Some numbers to contemplate 10 22 10 13 islands per disk smaller and denser features than used by the semiconductor industry 10 9 disks per year far higher than the total wafers/year by the semiconductor industry Low cost target < $5 per disk (total disk cost) A completely different approach is needed different process / different equipment double sided 7

Prepatterned Servo track direction (circumferential) data tracks servo sector track ID Gray code Very precise servo features created along with data track islands Eliminates need for separate servowriting operation Leads to multiple feature sizes in patterning quad burst tracking pattern 8

Bit Patterned Media: A Potential Fabrication Overview Existing Processes New Processes Template Fabrication Rotary Stage E-Beam Patterning Directed Self-Assembly Master Template Fabrication Template Replication Media Fabrication Process Incoming disk substrate Deposition of magnetic layers Nanoimprint Pattern Transfer (i.e. Etching) Planarization Lube and Burnish 1 master (e-beam + self-assembly) 10,000 replicated nanoimprint templates Inspection 100,000,000 patterned disks 9

Technology Building Blocks for Patterned Media Want to look at what is needed for each of these key building blocks and show some examples of status. Will mainly use BPM as an example system but most of discussion is also relevant to DTM. Media Deposition Masks Patterning Lithography Metrology Planarization 10

Master Pattern Lithography Roadmap e-beam lithography e-beam prepattern + block copolymer self-assembly 300 Gbit/in 2 Write at twice the period 1 Tbit/in 2 pattern clean-up 1X density and self-assembly fills in the missing dots 4X density E. Dobisz - HGST R. Ruiz - HGST rotary stage e-beam e-beam + density multiplier 400 600 800 1000 1200 1400 1600 1800 2000 Pattern density (Gbit/sq. inch) 11

Beyond E-Beam: Self-Assembly of Block Copolymers Poly(styrene-block-methylmethacrylate) (PS-b-PMMA) thin film Short range order: hexagonal close-pack Long range: disordered (without guiding) 12

Pattern Clean-Up and Density Multiplication E-beam-generated chemical contrast patterns for directed self-assembly Pattern Rectification or Clean Up (1:1) Interpolation for Density Multiplication (4:1) Take an imperfect e-beam pattern Write at twice the period 1X density and improve spot uniformity via self-assembly and self-assembly fills in the missing dots 4X density R. Ruiz, H. Kang, F. A. Detcheverry, E. Dobisz, D. S. Kercher, T. R. Albrecht, J. J. de Pablo, P. F. Nealey, Science 2008, 321, 936. 13

Pattern Density Multiplication (4:1 Guiding) E-beam pre-pattern Block Copolymer 39 nm period 78 nm period Dot Size Distribution σs=35nm2 σp=22nm2 54 nm period 27 nm period σs=39nm2 σp=13nm2 Hitachi Global Storage Technologies R. Ruiz, H. Kang, F. A. Detcheverry, E. Dobisz, D.2008 S. Kercher, T. R. Albrecht, J. J. de Pablo, P. F. Nealey, Science 2008, 321, 936. 14

Long-range order R. Ruiz, H. Kang, F. A. Detcheverry, E. Dobisz, D. S. Kercher, T. R. Albrecht, J. J. de Pablo, P. F. Nealey, Science 2008, 321, 936. Density multiplication. 1Tb/in 2 L s =54nm; L p =27nm, σ x = 1.8nm, σ y = 1.0 nm Pattern Transfer to create Si Pillars 15

UV-Cure Nanoimprinting: Process Steps Graphic: Molecular Imprints, Inc. Resist dispensing (ink jet) Thin template is bowed so initial contact in the center of the disk Capillary forces pull template into conformal contact with the disk Expose with UV light to cure the imprint resist Separate template from disk Etch 16

Nanoimprinting: Molecular Imprints Imprio 1100 Pitch = 76nm, 50nm resist thickness 300 Gbit/in 2 (50 nm period hcp) pattern 17

Nanoimprinting Requirements for Patterned Media Conformal full-disk (no stepping) imprinting of surface with imperfect flatness (65 95 mm diameter disks) Double-sided imprinting Single layer / no overlay / modest alignment (~10 um centering on disk) Mitigation of defects on both templates and imprinted disks High fidelity replication of nm-scale features with high aspect ratio Resist adhesion to disk Low-force release from template Template lifetime and template replication Resist etch resistance for pattern transfer Residual layer thickness and uniformity Resist etch selectivity for pattern transfer Clean removability of resist High throughput and low cost Industry: 1 billion(!) disks/year residual layer thickness substrate nanoimprint resist 18

Mask and Lithography: Key Requirements Masks (Some business model options here with vendors) High precision rotary e-beam tools Image multiplication methods (copolymers, side wall imaging ) BPM patterns with BAR > 1 Pattern transfer tooling for image into substrate Method to cheaply replicate the masters Metrology (image size, image shape, image placement, defects) Imprinting High speed double sided imprint tools Reasonable lifetime of templates Insensitivity to incoming defects/contamination Metrology (image size, image shape, image placement, defects) 19

Pattern Transfer Approach 1: Etched Substrate Island Trench 50 nm diameter islands 100 nm pitch Direct e-beam lithography Cr lift-off dots as hard mask Substrate RIE Blanket mag layer deposition Issues with substrate etch approach: GOOD: clean, fast etching (RIE) of friendly materials Si, SiO 2, Si 3 N 4 etch products volatile BAD: trench material is present possible noise source BAD: large topography (~40 nm) needs planarization 50 nm pitch J. Risner, O. Hellwig, E. Dobisz, D. Kercher - HGST 20

Approach 2: Etched Magnetic Film Hard Mask Material #2 Hard Mask Material #1 Co/Pd ML Underlayers Substrate 90 nm diameter islands 160 nm pitch Nanoimprint lithography RIE removal of resist residual layer RIE of hard mask layers RIE (or IBE) of magnetic layer Issues with mag layer etch approach: BAD: dirty, slow etching (IBE) of unfriendly materials Co, Pd, Ni, etc. redeposition of nonvolatile products GOOD: no trench material GOOD: less topography BAD: possible edge damage due to ion bombardment, Strip of masks directly on media 21

Media patterning: Key Requirements Patterning High Speed double sided etch tools (800 dph) Ability to deal with either patterned media or patterned substrate concept Selectivity between mask and media Multiple etch steps/processes insitu and all vacuum based A clean mask strip process without media damage Temperature rise/cooling issue at high etch rates No redeposition of etched material and or edge damage to features Uniform CD control and sharp feature profiles with multiple feature sizes End point control Metrology (image size, image shape, image placement, defects) 22

Head-Disk Interface: Motivation for Planarization Conventional Smooth Disk Patterned Disk ~5 nm flying slider motion FH sigma ~ 10% of FH disk surface FH falls sigma increases slightly insufficient clearance: crash Patterned Disk w/ Higher FH Planarized Patterned Disk Trenches filled ABS change to increase FH sigma increases further behaves like conventional smooth disk low FH and tight sigma Lowest possible flying height (FH) and tight sigma essential for high density recording 23

Planarization: Key Requirements Planarization Low cost Concepts Deposition and etch Spin on and etch CMP Excellent planarization with no residual material left of top of media that impacts magnetic spacing. Ability to deal with multiple feature sizes. Planarization material consistent with HDD environment No contamination Ability to deal with either patterned media or patterned substrate concept End point control Metrology (planarity, defects) 24

Metrology: Key Requirements (at 1 Tbit in/2) Huge volumes of parts of media and templates Features < 12nm, 2:1 aspect ratios Placement 1 sigma < 1nm Multiple types of materials/substrates (glass, polymer, media) Process control (CD, placement, profile control) Lithography Etch Planarization Defect control (10 13 features per disks) Over several size ranges Catch small repeating defects in master and daughters Catch random tool induced defects in timely fashion to allow correction Extendibility 25

Bit Patterned Media: Summary Patterned Media (both DTM and BPM) are potential solutions for extending the areal density growth of magnetic data recording beyond the approaching limits of conventional media Likely fabrication strategy Master pattern generation by high resolution e-beam lithography and self-assembly Pattern replication by UV-cure nanoimprint lithography (the only viable lithography solution) Etching of disk substrate or mag layer Tight fabrication tolerances required: small feature and sigmas High quality master template Pattern multiplication needed to go beyond e-beam lithography Nanoimprint requirements for patterned media fabrication Full-disk conformal imprinting on both sides High yield / low defect rate but no overlay required! Patterning tools are novel in terms of High throughput and dual sided processing Potentially difficult materials to etch in high density patterns Metrology Need new concepts given the volume of disks and minimum feature sizes in play Magnetic layer optimization (not discussed) DTM: Small grain media BPM: Tight switching field distribution (SFD) required 26