NBTI-Aware Statistical Circuit Delay Assessment /09/$ IEEE 13 10th Int l Symposium on Quality Electronic Design

Similar documents
EE650R: Reliability Physics of Nanoelectronic Devices Lecture 9:

Nature Neuroscience: doi: /nn Supplementary Figure 1. Spike-count autocorrelations in time.

EECS 141: FALL 00 MIDTERM 2

Vehicle Arrival Models : Headway

Outline. Chapter 2: DC & Transient Response. Introduction to CMOS VLSI. DC Response. Transient Response Delay Estimation

1. Introduction. Rawid Banchuin

V AK (t) I T (t) I TRM. V AK( full area) (t) t t 1 Axial turn-on. Switching losses for Phase Control and Bi- Directionally Controlled Thyristors

Semiconductor Devices. C. Hu: Modern Semiconductor Devices for Integrated Circuits Chapter 6

Chapter 6 MOSFET in the On-state

Modeling the Overshooting Effect for CMOS Inverter in Nanometer Technologies

Mobile Ion Effects on SiC MOS Bias- Temperature Instability Measurements

Robust estimation based on the first- and third-moment restrictions of the power transformation model

Navneet Saini, Mayank Goyal, Vishal Bansal (2013); Term Project AML310; Indian Institute of Technology Delhi

CHAPTER 10 VALIDATION OF TEST WITH ARTIFICAL NEURAL NETWORK

20. Applications of the Genetic-Drift Model

Mechanical Fatigue and Load-Induced Aging of Loudspeaker Suspension. Wolfgang Klippel,

Inventory Control of Perishable Items in a Two-Echelon Supply Chain

Physics 235 Chapter 2. Chapter 2 Newtonian Mechanics Single Particle

A Dynamic Model of Economic Fluctuations

Electrical and current self-induction

Bias in Conditional and Unconditional Fixed Effects Logit Estimation: a Correction * Tom Coupé

Chapter 15. Time Series: Descriptive Analyses, Models, and Forecasting

Chapter 7 Response of First-order RL and RC Circuits

Introduction to Digital Circuits

Application Note AN Software release of SemiSel version 3.1. New semiconductor available. Temperature ripple at low inverter output frequencies

Stability and Bifurcation in a Neural Network Model with Two Delays

04. Kinetics of a second order reaction

V L. DT s D T s t. Figure 1: Buck-boost converter: inductor current i(t) in the continuous conduction mode.

Chapter 4. Location-Scale-Based Parametric Distributions. William Q. Meeker and Luis A. Escobar Iowa State University and Louisiana State University

Sub Module 2.6. Measurement of transient temperature

Diebold, Chapter 7. Francis X. Diebold, Elements of Forecasting, 4th Edition (Mason, Ohio: Cengage Learning, 2006). Chapter 7. Characterizing Cycles

Article from. Predictive Analytics and Futurism. July 2016 Issue 13

Exponential Weighted Moving Average (EWMA) Chart Under The Assumption of Moderateness And Its 3 Control Limits

Reliability Estimate using Degradation Data

in Engineering Prof. Dr. Michael Havbro Faber ETH Zurich, Switzerland Swiss Federal Institute of Technology

An Analytical Approach to Efficient Circuit Variability Analysis in Scaled CMOS Design

EE141. EE141-Spring 2006 Digital Integrated Circuits. Administrative Stuff. Challenges in Digital Design. Last Lecture. This Class

Chapter 15: Phenomena. Chapter 15 Chemical Kinetics. Reaction Rates. Reaction Rates R P. Reaction Rates. Rate Laws

Random Walk with Anti-Correlated Steps

Silicon Controlled Rectifiers UNIT-1

Multivariate analysis of H b b in associated production of H with t t-pair using full simulation of ATLAS detector

Reliability of Technical Systems

ψ(t) = V x (0)V x (t)

3.1.3 INTRODUCTION TO DYNAMIC OPTIMIZATION: DISCRETE TIME PROBLEMS. A. The Hamiltonian and First-Order Conditions in a Finite Time Horizon

T L. t=1. Proof of Lemma 1. Using the marginal cost accounting in Equation(4) and standard arguments. t )+Π RB. t )+K 1(Q RB

Appendix to Creating Work Breaks From Available Idleness

STRUCTURAL CHANGE IN TIME SERIES OF THE EXCHANGE RATES BETWEEN YEN-DOLLAR AND YEN-EURO IN

Time series Decomposition method

RC, RL and RLC circuits

Analysis of Microstrip Coupling Gap to Estimate Polymer Permittivity

Exponentially Weighted Moving Average (EWMA) Chart Based on Six Delta Initiatives

On Measuring Pro-Poor Growth. 1. On Various Ways of Measuring Pro-Poor Growth: A Short Review of the Literature

Characterization and Modeling of Electrical Stresses on Digital Integrated Circuits Power Integrity and Conducted Emission

Measurement Error 1: Consequences Page 1. Definitions. For two variables, X and Y, the following hold: Expectation, or Mean, of X.

STATE-SPACE MODELLING. A mass balance across the tank gives:

Failure of the work-hamiltonian connection for free energy calculations. Abstract

A DELAY-DEPENDENT STABILITY CRITERIA FOR T-S FUZZY SYSTEM WITH TIME-DELAYS

SUPPLEMENTARY INFORMATION

22. Inbreeding. related measures: = coefficient of kinship, a measure of relatedness of individuals of a population; panmictic index, P = 1 F;

GINI MEAN DIFFERENCE AND EWMA CHARTS. Muhammad Riaz, Department of Statistics, Quaid-e-Azam University Islamabad,

Lecture 2-1 Kinematics in One Dimension Displacement, Velocity and Acceleration Everything in the world is moving. Nothing stays still.

Physical Limitations of Logic Gates Week 10a

Advanced Organic Chemistry

Some Basic Information about M-S-D Systems

EECE251. Circuit Analysis I. Set 4: Capacitors, Inductors, and First-Order Linear Circuits

Summary of shear rate kinematics (part 1)

Modal identification of structures from roving input data by means of maximum likelihood estimation of the state space model

EE 435. Lecture 31. Absolute and Relative Accuracy DAC Design. The String DAC

Chapter 4. Circuit Characterization and Performance Estimation

Semi-Competing Risks on A Trivariate Weibull Survival Model

Notes on Kalman Filtering

Application of a Stochastic-Fuzzy Approach to Modeling Optimal Discrete Time Dynamical Systems by Using Large Scale Data Processing

CHAPTER 6: FIRST-ORDER CIRCUITS

14 Autoregressive Moving Average Models

LAB 5: Computer Simulation of RLC Circuit Response using PSpice

International Journal of Computer Science Trends and Technology (IJCST) Volume 3 Issue 6, Nov-Dec 2015

R.#W.#Erickson# Department#of#Electrical,#Computer,#and#Energy#Engineering# University#of#Colorado,#Boulder#

ACE 562 Fall Lecture 8: The Simple Linear Regression Model: R 2, Reporting the Results and Prediction. by Professor Scott H.

Sliding Mode Controller for Unstable Systems

Air Traffic Forecast Empirical Research Based on the MCMC Method

Shiva Akhtarian MSc Student, Department of Computer Engineering and Information Technology, Payame Noor University, Iran

Lab 10: RC, RL, and RLC Circuits

Chapter 2. Models, Censoring, and Likelihood for Failure-Time Data

Linear Response Theory: The connection between QFT and experiments

Keywords: thermal stress; thermal fatigue; inverse analysis; heat conduction; regularization

6.2 Transforms of Derivatives and Integrals.

Types of Exponential Smoothing Methods. Simple Exponential Smoothing. Simple Exponential Smoothing

A new flexible Weibull distribution

Computation of the Effect of Space Harmonics on Starting Process of Induction Motors Using TSFEM

Interpretation of special relativity as applied to earth-centered locally inertial

Final Spring 2007

EE 330 Lecture 40. Digital Circuits. Propagation Delay With Multiple Levels of Logic Overdrive

WATER LEVEL TRACKING WITH CONDENSATION ALGORITHM

Module 2 F c i k c s la l w a s o s f dif di fusi s o i n

ON THE BEAT PHENOMENON IN COUPLED SYSTEMS

CHAPTER 2 Signals And Spectra

Georey E. Hinton. University oftoronto. Technical Report CRG-TR February 22, Abstract

Polymer Engineering (MM3POE)

An Inventory Model for Time Dependent Weibull Deterioration with Partial Backlogging

Dead-time Induced Oscillations in Inverter-fed Induction Motor Drives

Transcription:

NBTI-Aware Saisical Circui Delay Assessmen Balaji Vaidyanahan,, Anhony S. Oaes, Yuan Xie, Yu Wang 3 Taiwan Semiconducor Manufacuring Company Ld., Hsinchu, Taiwan Deparmen of Compuer Science and Engineering, Pennsylvania Sae Universiy, PA, USA 3 Deparmen of Elecronics Engineering, Tsinghua Universiy, Beijing, China E-mail: {vbalaji@smc.com, aoaes@smc.com, yuanxie@cse.psu.edu, yu-wang@singhua.edu.cn} Absrac This work esablishes an analyical model framework o accoun for he NBTI aging effec on saisical circui delay disribuion. In his paper, we explain how circui NBTI miigaion echniques can accoun for his exra variabiliy and furher presen he impac of saisical PMOS NBTI DClifeime variabiliy on he produc delay spread.. Inroducion Negaive Bias Temperaure Insabiliy (NBTI is one of he major reliabiliy degradaion mechanisms in advanced CMOS echnology. Aggressive gae dielecric scaling, lower rae of supply scaling and increasing power densiy wih echnology has hroled NBTI induced PMOS hreshold volage shif (ΔV leading o increasing shif in produc delay wihin is lifeime. On op of his, manufacuring variabiliy inroduces addiional perurbaion in device parameer as well as NBTI DC-lifeime of PMOS [] wihin and across differen dies hus increasing he mulipliciy of he problem. There are separae works modeling saisical circui delay [] and circui NBTI aging [3] respecively. In his paper, we combine various sources of variabiliy including NBTI, manufacuring process variaion, and PMOS NBTI saisical DC-lifeime variaion owards assessing he saisical disribuion of circui delay during is lifeime. Wih he ever-increasing yield consrains and reliabiliy issues wih echnology scaling, i makes he combined NBTI and process variaion analysis more relevan and imporan. NBTI aging has been widely sudied a device level focusing on is measuremen echniques, physical modeling, and simulaion [4,5]. On he oher hand, circui NBTI sudies have focused on developing circui NBTI models [3], augmening synhesis ools wih NBTI awareness [6], building on-chip aging sensors [7,8], and developing cusom design echnique o increase circui lifeime [3]. Similarly, sudy on manufacuring variabiliy focuses on modeling, characerizaion, and simulaion boh a device and design level [,9]. A he design level, he research focuses on saisical CAD algorihms and process variaion aware designs []. Afer fabricaion he effecs due o process variaion remains consan. However, when coupled wih he ime-varying NBTI aging mechanism he saisical device and circui parameers are bound o change. In addiion o his, variabiliy in PMOS NBTI DC-lifeime iself across differen PMOS ransisors on he same die would add on o he already exising process variabiliy. In his regard he work makes following conribuions.. An analyical framework o undersand he effec of NBTI aging on saisical device parameer and gae delay is developed.. The impac of previously proposed circui NBTI miigaion echniques in saisical domain is analyzed. 3. Finally, he effec of PMOS NBTI DC-lifeime variabiliy on produc delay spread wih echnology scaling is presened.. Experimenal Seup The NBTI induced PMOS V degradaion (posiive shif in absolue value of PMOS hreshold volage is considered o be a combinaion of slow inerface rapped and fas-holerapped charges in advanced echnology (equaion (. The slow NBTI induced PMOS V degradaion is modeled as a power law (equaion ( in accordance wih he reacion diffusion heory, while he fas sress behavior ha is aribued o he hole-rapping/de-rapping mechanism sauraes a low volages wihin few milliseconds [5]. A long sress periods slow inerface rapped charges dominaes aging hence we neglec fas-hole-rapped charges in our sudy. Δ V = ΔV + ΔV ---( sress i h ( A* Vgs Ea K T n Δ V = ΔV e e b ---( i 0 * Where fiing parameers (ΔV 0, and A, acivaion energy (Ea, Bolzmann consan (K b, sress volage (Vgs, operaional emperaure (T and ime exponen (n=/6 in accordance wih reacion-diffusion heory are used in modeling he NBTI behavior due o slow inerface rapped charges. One has o incorporae also he recovery model o undersand he AC behavior of he NBTI induced V sress in PMOS ransisors. Universal recovery model is used in our analysis as proposed by Kaczer e al. [0] ha follows from equaion (3. β ( r ( ξ = + Bξ ---(3 ξ = DF ---(4 r( P ΔV AC = R ξ + ---(5 Where DF is he duy facor, B is he scaling parameer and β is he dispersion parameer [0]. The oal NBTI ΔV sress is considered o be a summaion of permanen (P, permanen inerface raps and recoverable (R, recoverable inerface raps componen (equaion (5, while he r (ξ describes he duy facor dependence of he recovery as shown in equaion (4. NBTI AC/DC facor derived from he above sress/recovery models is fed ino he spice simulaor for circui lifeime exracion (Figure. 978--444-953-0/09/$5.00 009 IEEE 3 0h In l Symposium on Qualiy Elecronic Design Auhorized licensed use limied o: Penn Sae Universiy. Downloaded on December 6, 009 a : from IEEE Xplore. Resricions apply.

To predic complex digial circui lifeime we synhesize ISCAS 85 benchmark circuis o he digial libraries and criical pahs covering op 0% of he max delay were exraced. We saically calculae he V degradaion a each ransisor using 0.5 saic signal probabiliies a he circui primary inpus. The NBTI induced V degradaion is incorporaed by adjusing he DELVTO parameer in HSPICE (using public domain BSIM4 model-card [] o obain aged criical pah delay. Fresh Model-card Spice nelis NBTI model parameers Spice simulaor Afer T PMOS Vh aging yrs Delay Degradaion Reliabiliy Engine NBTI DC sress model Leveraging design ools NBTI DC recovery model o analyze criical pahs Figure : Circui NBTI simulaion seup Process variaion can be subdivided ino global and local variaion. Global variaion encompasses iner-die, inerwafer, and iner-lo variaion, while he local variaion covers he wihin-die (WID variaions. WID variaion has random and correlaed componen. The sources of variaion are modeled hrough Leff, Weff, Tox, and V (assuming Gaussian disribuion o precisely analyze saisical circui characerisics. Mone-Carlo simulaion (000 runs using HSPICE simulaor (wih public domain BSIM model-card [] was performed o obain 3-sigma variaion on ransisor and circui parameers.. Effec of NBTI Aging on Saisical Device Parameers One can generically assume ha he manufacured producs o consis of ransisors wih heir parameers (I dsa / V falling wihin a cerain range of spread defined by 3- sigma variaion (or 0.% wors-case/bes-case value. However, his saisical spread shifs wih device NBTI aging leading o more devices shifing ou of he ime0 saisical 3σ spread of fresh device parameers (Figure. Frequency (a.u. w/o NBTI w/ NBTI poin defined by 3σ or 0.% probabiliy of occurence fall-ou Circui/device parameer Figure : Circui delay or device parameers (I dsa or V falling-ou due o NBTI (Animaion Thus NBTI induced aging could lead o a shif in he mean, sigma, or boh in he device parameers as well as he circui delay. To capure boh he shif in mean and sigma we arbirarily define a erm called fall-ou (Figure o gauge he effec of NBTI on saisical PMOS device parameer (I dsa and also he circui parameer (delay. The fall-ou is anoher way of looking a he device or circui aging due o NBTI in a saisical domain and hence should no be confused wih a failure indicaor. Figure 3 briefly illusraes he effec of NBTI aging on he saisical I dsa and V spread of PMOS device of differen gae widhs. We call he PMOS devices wih heir I dsa moving ou of he 3σ spread of fresh PMOS saisical I dsa disribuion as a resul of NBTI as I dsa fall-ous. A he device level, I dsa is chosen as fall-ou indicaor, as i is one of he major decider of ransisor delay. V (a.u. Devices falling ou of Idsa spec Idsa (a.u. /per micron Toal variaion before NBTI sress V (a.u. Devices falling ou of Idsa spec Idsa (a.u./per micron Toal variaion afer NBTI sress (a (b Figure 3: (Illusraion I dsa versus V disribuion of fresh devices shifing due o NBTI aging in larger widh PMOS (a and smaller widh PMOS (b. Figure 3a and 3b shows ha he percenage I dsa fall-ou for a larger widh PMOS device is higher compared o smaller widh PMOS. The reason being ha, local variaion (mismach is inversely proporional o he square roo of effecive gae lengh and widh of he ransisor [9]. This implies ha, larger widh PMOS has lesser I dsa variaion. Though NBTI induced I dsa shif is independen of ransisor widh, he I dsa shif relaive o he I dsa variaion differs wih ransisor widhs and hence he difference in I dsa fall-ous beween larger and smaller widh PMOS (figure 3. Secondly, we analyze he effec of aging on I dsa fall-ous wih ime. NBTI aging wih ime is represened in erms of ΔV, which is widely used o rack he effec of NBTI induced core PMOS device [5] parameer variaions. While he device fall-ous due o NBTI were calculaed using he I dsa parameer (for shor-channel ha is used as an indicaor for device performance. Idsa = Cox * υ *( Vgs V ΔV ---(6 ( μ V v σ v ( f V = e σ π ---(7 Assuming a Gaussian disribuion for V (equaion (7, one can derive he Probabiliy Densiy Funcion (PDF of I dsa (equaion (8 based on I dsa equaion (6. Assigning, A = Cox *υ * Vgs, and B = Cox * υ, where Cox is gae capaciance and ν is sauraion velociy, we ge, f ( I dsa = e Bσ π I A B dsa ( μ +ΔV v σ v ---(8 Vaidyanahan, NBTI-Aware Saisical Circui Delay Auhorized licensed use limied o: Penn Sae Universiy. Downloaded on December 6, 009 a : from IEEE Xplore. Resricions apply.

F( I dsa Frequency (a.u. I = 0.5* + erf dsa A B σ * ( μ + ΔV Vaidyanahan, NBTI-Aware Saisical Circui Delay V V HSPICE w/ NBTI HSPICE w/o NBTI Model w/ NBTI Model w/o NBTI ---(9 0.6 0.7 0.8 0.9.0. Idsa (a.u. Figure 4: I dsa PDF disribuion (model and HSPICE based Mone-Carlo simulaion before and afer NBTI induced ΔV shif on PMOS. Figure 4 shows close mach beween he analyical model for PDF of I dsa (f(i dsa and HSPICE. The I dsa fall-ou is calculaed as he area under NBTI shifed f(i dsa ha falls ouside of f(i dsa of a fresh PMOS device calculaed using he Cumulaive Disribuion Funcion (CDF from equaion (9. Basically he I dsa fall-ous follow a rend characerisic of an error funcion (erf (Figure 5. Fall-ou (% Spice Model 0.0 0. 0.4 0.6 0.8.0 NBTI DelV (a.u. Figure 5: I dsa fallou of PMOS devices wih NBTI aging. Effec of NBTI Aging On Single/Muli Sage Saisical Gae Delay PMOS widh and NBTI induced ΔV has subsanial effec on he I dsa fall-ous due o NBTI a he ransisor level. A he circui level NAND, NOR, and INV can be considered as basic building blocks. PMOS NBTI aging differs in he way i affecs he delay aging of hese hree basic gaes. I is well known ha NBTI aging impacs NOR gae more han he NAND, and INV gae delay. The reason being ha he PMOS sacking in NOR is more vulnerable o delay aging due o sacking effec [3]. In his regard, he rise-delay fall-ou of basic gaes is analyzed applying global and local variaion (we assume ha all he PMOS in he gae are fully correlaed and he same for NMOS o he individual gaes. Linear approximaion model for gae delay (T d shown in equaion (0 is used in boh circui NBTI aging models [6] as well as saisical circui delay models []. Td = B V + C ---(0 B, and C are consans associaed wih he process parameers, load and biasing condiions of he devices. However when analyzing he NBTI effec on saisical delay spread we found ha he linear gae delay model underpredics he gae-delay fall-ous. We derive our delay fall-ou model based on he gae delay model (following a alphapower law based CMOS inverer delay model [], where alpha is assumed o be equal o shown in equaion ( o explain his. A Td = V V ΔV ---( ( gs C * V oal dd Where, A = ---( n* υ * Cox Where C oal is oupu load capaciance and n is a fiing parameer. Assuming ha he V disribuion follows Gaussian (equaion (3, one can derive he PDF of T d o analyze he effec of NBTI induced V increase on he T d disribuion and he ensuing rise-delay fallous. ( V μv = σ v f ( V e ---(3 f ( T d σ π A Td σ ( μ +ΔV v A e = * ---(4 T σ π d Vgs Vgs A Td F( Td = 0.5* + erf σv * Frequency (a.u. V v ( μ + ΔV V HSPICE w/o NBTI HSPICE w/ NBTI Model w/o NBTI Model w/ NBTI ---(5 Rise delay (ps Figure 6: INV rise delay (T d PDF disribuion (model and HSPICE based Mone-Carlo simulaion before and afer NBTI We can draw wo observaions abou he PDF disribuion of Inverer rise-delay (T d from Figure 6. Firs one being ha he PDF of T d disribuion (equaion (4 is non-gaussian wih a long ail. Secondly, NBTI induced V increase no only Auhorized licensed use limied o: Penn Sae Universiy. Downloaded on December 6, 009 a : from IEEE Xplore. Resricions apply.

shifs he mean of f(t d bu also increases is spread (or sigma (Figure 6. However assuming a linear V dependen ransisor delay model (equaion (0, would have underesimaed he delay fall-ou. Tha is, wih a linear delay model, an inpu Gaussian f(v would have lead o a Gaussian f(t d. In which case a NBTI induced ΔV shif (μ V + ΔV would only have lead o a shif in mean value of T d, bu no an increase in is spread (sigma hus underesimaing he delay fall-ous. Fall-ou (% Spice Model 0.0 0. 0.4 0.6 0.8.0 NBTI DelV (a.u. Figure 7: Inverer rise delay fall-ou (model and HSPICE based predicion due o NBTI aging of INV Wih NBTI induced ΔV shif (while keeping σ V, A, and Vgs as consans, he inverer rise-delay fall-ou increase following a rend ha is characerisic of an error funcion (erf (Figure 7. Noe ha he rise-delay fall-ou here indicaes he area under NBTI shifed f(t d ha falls ouside of f(t d of inverer wih fresh device calculaed using he CDF from equaion (5. One can exend he saisical inverer rise-delay fall-ou model o ake care of NOR and NAND gaes by adjusing V, A, and B o mach wih he HSPICE Mone-Carlo predicion. fall-ou (% INV NOR NAND Figure 8 shows he INV/NAND/NOR chain circui risedelay fall-ous wih increasing number of sages. The risedelay here means he primary oupu rising delay. The risedelay fall-ou simulaions were carried wih an inpu saic signal probabiliy of 0.5. Three main observaions can be drawn from he simulaed HSPICE predicions in Figure 8. Firsly, he NAND/INV/NOR has increasing delay fall-ous in he order menioned, due o he decreasing srengh of pullup (PMOS nework relaive o he pull-down nework leading o increasing NBTI vulnerabiliy. Secondly, he odd sages have higher delay fall-ous due o he presence of an excess pull-up node in he rise-delay pah in comparison o he pull-down nodes leading o more (% delay aging and hence fall-ous. Thirdly, he rise-delay fall-ous of larger sage chains converge o he fall-ou value of -sage chains as he raio of pull-up o pull-down nodes in larger chain approaches one. A complee analyical model o predic he delay fall-ous for muli-sage circui would save ime on he cumbersome HSPICE based Mone-Carlo runs. Model predicion for muli-sage circui delay fall-ous is currenly under progress and will be available in he fuure works. In our nex secion, we use HSPICE based Mone-Carlo predicions o sudy he effec of NBTI on saisical delay of complex digial circuis ha conains muliple sages. And based on our single sage circui delay fall-ou predicion model, he HSPICE predicion rends are analyzed.. Effec of NBTI Aging On Saisical Circui Delay We synhesize complex logic circuis (ISCAS 85 benchmarks using basic NBTI characerized libraries (INV/NAND/NOR of varying ransisor widhs, sacks and fingers. Spice nelis of he circuis were augmened wih Leff, Weff, Tox, and V variaions ha incorporae global (variaion across die and local (random, and correlaed componen wihin die variaions. The above-menioned spice parameers ha were used o model he oal variaion are assigned mean and sandard deviaions (following a Gaussian disribuion such ha larger widh ransisors would observe a 0% I dsa shif from he mean a he 3-sigma poin. Furher he local variaion (mismach dependence on Leff, and Weff is modeled based on he empirical expression proposed by Asenov e al [9]. In our simulaion eiher we allow he circuis o have oal random local variaion or oal correlaed local variaion (meaning here is no WID spaial variaion o undersand he difference beween he NBTI ineracion wih he wo exremes hough in realiy here will be a mix of boh. 3 4 5 6 7 8 9 Number of sages Figure 8: INV/NOR/NAND chain rise-delay fall-ou (HSPICE based Mone-Carlo simulaion a he end of 0yrs due o NBTI induced PMOS ΔV aging % Fall-ou C908 C3540 C499 C880a G+L(only rnd G+L(only corr Linear Fi Linear Fi 6 7 8 % Delay Aging Figure 9: ISCAS 85 benchmark criical pah delay aging and fall-ou a he end of 0yrs (HSPICE based Mone-Carlo predicion due o NBTI (Noe: G (L denoes global (local variaion Vaidyanahan, NBTI-Aware Saisical Circui Delay Auhorized licensed use limied o: Penn Sae Universiy. Downloaded on December 6, 009 a : from IEEE Xplore. Resricions apply.

HSPICE based Mone-Carlo simulaion was performed on ime (0 and ime (0yrs NBTI aged circuis wih a PMOS NBTI induced ΔV =45mv @ 0yrs for a PMOS in a circui wih 50% inpu duy cycle. Two main observaions can be drawn from he NBTI induced delay fall-ou predicion a he end of 0yrs for he ISCAS 85 benchmarks shown in Figure 9. Namely, he power-law dependence of circui delay fallous on NBTI induced delay aging and delay sandard deviaion of is criical pah. The behavior of circui delay fall-ous o NBTI induced delay shif can be undersood from a simple inverer rise-delay fall-ou behavior wihou loss of generaliy. The Inverer delay fall-ou response o PMOS NBTI V shif (Figure 7 is re-ploed in Figure 0 in log-log scale, showing he linear fiing closely maching he HSPICE predicion. This linear fiing is aribued o behavior of he error funcion (erf (wihin he range of ΔV shown in Figure 0 ha is used in he calculaion of fall-ous for gae delays (equaion (5. In oher words, delay fall-ou has a power law dependence on NBTI induced PMOS ΔV. Addiionally, based on a firs order linear ransisor delay (T d approximaion model (equaion (0 (noe han he saisical mean value of T d can be approximaed wih a linear dependence model for he range of ΔV shif due o NBTI leading o 0% T d shif, one can derive a linear relaion beween he gae delay response and NBTI induced PMOS ΔV. Hence delay fall-ou is an power-law funcion of he % delay aging. This finding has an imporan bearing on he NBTI miigaion echniques a he circui level. By making linear changes o he mean delay aging of circuis, one can conrol he delay fall-ous following he prediced power-law rend in Figure 9. Thus predicing he effeciveness of circui NBTI miigaion echniques (ransisor gae sizing, body biasing, and supply volage scaling for circui delay fall-ou improvemen wih minimal penaly on opimizing only he criical nodes along he criical pah of he circui [6]. Fall-ou (% Spice Linear fi NBTI DelV (a.u. Figure 0: Inverer rise delay fall-ou (HSPICE based predicion due o NBTI aging of INV (Noe: Figure 7 redrawn in log-log scale Secondly, NBTI induced delay fall-ous are larger for circuis wih local variaion componen ha is compleely correlaed (correlaion facor= among all he criical pah libraries compared o he compleely random local variaion counerpar (Figure 9. This can be explained based on he Vaidyanahan, NBTI-Aware Saisical Circui Delay undersanding ha a compleely correlaed local variaion leads o higher variaion in saisical circui delay compared o he compleely random local variaion case [3]. Fall-ou (% Model Linear Fi Scale (used o scale down σ V Figure : Inverer rise delay fall-ou (model from equaion (5 a he end of 0yrs due o NBTI aging (Noe: scale value is used o scale down he ime0 sigma of PMOS V disribuion (σ V used in equaion (5 Furher, Figure shows a power-law dependence of circui delay fall-ou on he circui delay spread (sigma based on he single-sage INV rise delay fall-ou model (Equaion 5. Hence he difference beween he ISCAS 85 delays fall-ous wih compleely correlaed and random local variaion case. An imporan implicaion of his undersanding is ha ighening or reducion of saisical circui delay variaion leads o more NBTI induced delay fallous and hence he necessiy o include more circui delay guard band. Finally, we look a circui delay fall-ou sensiiviy o NBTI lifeime. Here we define he PMOS NBTI DC lifeime o be he ime aken in years of a PMOS device ΔV o shif by 50mV under DC sress condiions (using equaion ( as shown in equaion (6. We empirically derive fiing parameer ΔV0 from equaion (6 for a given PMOS DC lifeime under a given sress volage (Vgs and emperaure (T condiions and use i in equaion ( o obain NBTI PMOS ransisor degradaion a differen imes. DC _ lifeime = % Fall-ou n ΔV e 0 50mV E e ( A* Vgs a K b T C499 C880a C908 C3540 ---(6 PMOS NBTI lifeime (yrs Figure : ISCAS 85 benchmark criical pah delay fall-ou a he end of 0yrs (HSPICE based Mone-Carlo predicion wih varying PMOS NBTI DC lifeimes Auhorized licensed use limied o: Penn Sae Universiy. Downloaded on December 6, 009 a : from IEEE Xplore. Resricions apply.

NBTI lifeime follows a random disribuion in a circui ha can be closely modeled by lognormal saisics [4]. Such a Lognormal NBTI saisics across a circui can lead o an exponenial variaion in NBTI lifeime of he libraries in he criical pah. To make he undersanding simple, we consider he PMOS NBTI DC lifeime of all he PMOS (wihou incorporaing lognormal lifeime disribuion in he circui o be equal and perform HSPICE based Mone-Carlo simulaions (wih only-correlaed local variaion among criical pah circuis o predic he NBTI induced circui delay fall-ous wih NBTI lifeime change. From Figure, one can observe ha circui delay fall-ous follow a powerlaw rend wih PMOS NBTI DC lifeime. This can be explained from Figure 3 (plo derived based on equaion (6 and ( ha shows a power-law dependence of NBTI induced ΔV on PMOS NBTI DC lifeime of PMOS device. Addiionally, we know from Figure 0 ha NBTI induced PMOS ΔV and he induced delay fallous have power-law relaion. Hence ransiively, we have he ISCAS 85 delay fall-ous having a power-law dependence on MOS NBTI DC lifeime NBTI induced ΔV (V ΔV (@0yrs Linear fi NBTI lifeime (yrs Figure 3: PMOS NBTI DC lifeime (lifeime=ime @ PMOS NBTI induced ΔV =50mv versus he corresponding NBTI induced PMOS ΔV @ 0yrs Thus exponenial variaion of PMOS NBTI DC lifeime in criical pah libraries leads o exponenial variaion of NBTI induced delay fall-ous. Furher wih echnology scaling, PMOS NBTI DC lifeime variabiliy ges criical [] and hence he necessiy o model NBTI lifeime variabiliy ino he saisical circui delay fall-ou predicion framework. Such a complee model framework will help aack NBTI reliabiliy concerns a he design level in presence of process and NBTI lifeime variabiliy.. Conclusion In his paper, we esablished a framework o link he effec of NBTI aging and saisical PMOS ransisor parameer (I dsa shif, o delay disribuion of library cells and more complex circuis. Circui delay fall-ou (-of ime0 manufacuring 3σ saisical spread of produc delay is prediced o have power-law dependence on he NBTI induced PMOS ΔV shif. Circui NBTI miigaion echniques (ransisor gae sizing, body biasing, and supply volage scaling are expeced o accoun for his effec wih minimal cos penaly by opimizing only he NBTI vulnerable nodes. Addiionally, he presence of PMOS NBTI DC random lifeime variabiliy is prediced o increase he circui delay fall-ous following a power-law dependence, which becomes criical wih echnology scaling. 9. References [] S. Pae, J. Maiz, C. Prasad, B. Woolery, Effec of BTI Degradaion on Transisor Variabiliy in Advanced Semiconducor Technologies, IEEE Tran. On Device and Maerial Reliabiliy, Volume 8, No. 3, Sep 008, Page(s: 59-55 [] J. A. G. Jess, K. Kalafala, S. R. Naidu, R.H.J.M. Oen, C. Visweswariah, Saisical Timing for Parameric Yield Predicion of Digial Inegraed Circuis, IEEE Tran. On CAD of ICAS, Volume 5, Issue, Nov. 006 Page(s: 376 39 [3] R. Vaikonda, W. Wang, Y. Cao, Modeling and minimizaion of PMOS NBTI effec for robus nanomeer design, ACM/IEEE Design Auomaion Conference, July 006, Page(s: 047-05 [4] J. H. Lee, W. H. Wu, A. E. Islam, M. A. Alam, A. S. Oaes, Seperaion Mehod of Hole Trapping and Inerface Trap Generaion and Their Roles in NBTI Reacion-Diffusion Model, IEEE IRPS 008, Page(s: 745 746 [5] A. E. Islam, H. Kufluoglu, D. Varghese, S. Mahapara, M. A. Alam, Recen Issues in Negaive-Bias Temperaure Insabiliy: Iniial Degradaion, Field Dependence of Inerface Trap Generaion, Hole Trapping Effecs, and Relaxaion, IEEE Tran. On Elecron Devices, Volume 54, Issue 9, Sep 007, Page(s: 43-54 [6] W. Wang, Z. Wei, S. Yang, Y. Cao, An efficien mehod o idenify criical gaes under circui aging, IEEE/ACM Inl. Conf. On Comp. Aided Design, Nov. 007, Page(s: 735-740 [7] M. Agarwal, B. C. Paul, M. Zhang, S. Mira, Circui Failure Predicion and Is Applicaion o Transisor Aging, IEEE VLSI Tes Symposium, 007, Page(s: 77-86 [8] T. -H. Kim, R. Persaud, C. H. Kim, Silicon Odomeer: An On-chip Reliabiliy Monior for Frequency Degradaion of Digial Circuis, IEEE Journal of Solid-Sae Circuis, Volume 43, No. 4, April 008, Page(s: 874-880 [9] A. Asenov, A. R. Brown, J. H. Davies, S. Kaya, G. Slavcheva, Simulaion of Inrinsic Parameer Flucuaions in Decananomeer and Nanomeer-Scale MOSFETs, IEEE Tran. On Elecron Devices, Volume 50, Issue 9, Sep 003, Page(s: 837-85 [0] B. Kaczer, T. Grasser, P.J. Roussel, J. Marin-Marinez, R. O'Connor, B.J. O'Sullivan, G. Groeseneken, Ubiquious relaxaion in BTI sressing New evaluaion and insighs, IEEE IRPS 008, Page(s: 0 7 [] hp://www.eas.asu.edu/~pm [] T. Sakurai, A. R. Newon, Alpha-Power Law MOSFET Model and is Applicaion o CMOS Inverer Delay and Oher Formulas, IEEE Journal of Solid-Sae Circuis, Volume 5, No., April 990, Page(s: 584-594 [3] P. Friedberg, Y. Cao, J. Cain, R. Wang, J. Rabaey, C. Spanos, Modeling wihin-die spaial correlaion effecs for processdesign co-opimizaion, IEEE Inl. Symp. On Qualiy Elecronic Design, March 005, Page(s: 56 5 [4] H. Masuda, D. G. Pierce, K. Nishisuru, K. Machida, Assessmen of a 9Onm PMOS NBTI in he Form of Producs Failure Rae, IEEE Inl. Conf. On Microelecronic Tes Srucures, Volume 8, April 008, Page(s: 89 94 Vaidyanahan, NBTI-Aware Saisical Circui Delay Auhorized licensed use limied o: Penn Sae Universiy. Downloaded on December 6, 009 a : from IEEE Xplore. Resricions apply.