A virtual metrology approach for maintenance compensation to improve yield in semiconductor manufacturing

Similar documents
Gaussian Process Regression for Virtual Metrology of Plasma Etch

Regression Methods for Prediction of PECVD Silicon Nitride Layer Thickness

Research Article A Hybrid ICA-SVM Approach for Determining the Quality Variables at Fault in a Multivariate Process

Gaussian Process Regression for Virtual Metrology-enabled Run-to- Run Control in Semiconductor Manufacturing

ARTIFICIAL NEURAL NETWORK WITH HYBRID TAGUCHI-GENETIC ALGORITHM FOR NONLINEAR MIMO MODEL OF MACHINING PROCESSES

On the interaction between measurement strategy and control performance in semiconductor manufacturing

Effect of Incoming Quality of Sulfuric Acid on Inline Defects

A Data-driven Approach for Remaining Useful Life Prediction of Critical Components

A General Framework for Predictive Tensor Modeling with Domain Knowledge

Anomaly Detection for the CERN Large Hadron Collider injection magnets

SYSTEMATIC APPLICATIONS OF MULTIVARIATE ANALYSIS TO MONITORING OF EQUIPMENT HEALTH IN SEMICONDUCTOR MANUFACTURING. D.S.H. Wong S.S.

Multivariate Control and Model-Based SPC

YARN TENSION PATTERN RETRIEVAL SYSTEM BASED ON GAUSSIAN MAXIMUM LIKELIHOOD. Received July 2010; revised December 2010

WITH increasing demand on higher resolution display

Modeling of Ion Energy Distribution Using Time-Series Neural Network

I. INTRODUCTION. 127 J. Vac. Sci. Technol. B 15(1), Jan/Feb X/97/15(1)/127/6/$ American Vacuum Society 127

In-situ Sensing Using Mass Spectrometry and its Use for Run-To-Run Control on a W-CVD Cluster Tool

A Fuzzy Collaborative Forecasting Approach for WIP Level Estimation in a Wafer Fabrication Factory

Model 2300XP PSL & Process-Particle Wafer Deposition System

The Fourth International Conference on Innovative Computing, Information and Control

Process Watch: Having Confidence in Your Confidence Level

MOCVD Carrier Emissivity and Temperature Uniformity Characterization

Predicting IC Defect Level using Diagnosis

Multi-wind Field Output Power Prediction Method based on Energy Internet and DBPSO-LSSVM

Weighted Fuzzy Time Series Model for Load Forecasting

Plasma Etch Tool Gap Distance DOE Final Report

Alternative deposition solution for cost reduction of TSV integration

The deposition of these three layers was achieved without breaking the vacuum. 30 nm Ni

Application of cepstrum and neural network to bearing fault detection

Robust Inverse Covariance Estimation under Noisy Measurements

The Fault extent recognition method of rolling bearing based on orthogonal matching pursuit and Lempel-Ziv complexity

Real-time Virtual Metrology and Control of Plasma Electron Density in an Industrial Plasma Etch Chamber

Design of a hydrostatic symmetric-pad bearing with the membrane-type restrictor

Particle Filters for Remaining Useful Life Estimation of Abatement Equipment used in Semiconductor Manufacturing

A Low-Error Statistical Fixed-Width Multiplier and Its Applications

WITH the continuing drive towards smaller feature sizes

Research on State-of-Charge (SOC) estimation using current integration based on temperature compensation

PRODUCT yield plays a critical role in determining the

OVERLAPPING ANIMAL SOUND CLASSIFICATION USING SPARSE REPRESENTATION

A novel dual H infinity filters based battery parameter and state estimation approach for electric vehicles application

PROBABILISTIC DATA MINING FOR AIRCRAFT STRUCTURAL HEALTH MONITORING

Independent Component Analysis for Redundant Sensor Validation

Temperature Prediction Using Fuzzy Time Series

APPLICATION OF GREY RELATIONAL ANALYSIS TO MACHINING PARAMETERS DETERMINATION OF WIRE ELECTRICAL DISCHARGE MACHINING

An Improved ADSE Algorithm for Dynamic Weighing System

WEATHER DEPENENT ELECTRICITY MARKET FORECASTING WITH NEURAL NETWORKS, WAVELET AND DATA MINING TECHNIQUES. Z.Y. Dong X. Li Z. Xu K. L.

Comparison of the grey theory with neural network in the rigidity. prediction of linear motion guide

Fault prediction of power system distribution equipment based on support vector machine

Switching-state Dynamical Modeling of Daily Behavioral Data

PATTERN RECOGNITION FOR PARTIAL DISCHARGE DIAGNOSIS OF POWER TRANSFORMER

Development of a Data Mining Methodology using Robust Design

A Reservoir Sampling Algorithm with Adaptive Estimation of Conditional Expectation

The Failure-tree Analysis Based on Imprecise Probability and its Application on Tunnel Project

Competitive Semiconductor Manufacturing

Support Vector Regression (SVR) Descriptions of SVR in this discussion follow that in Refs. (2, 6, 7, 8, 9). The literature

Compound buried layer SOI high voltage device with a step buried oxide

Intelligent Fault Classification of Rolling Bearing at Variable Speed Based on Reconstructed Phase Space

Real-time Virtual Metrology and Control for Plasma Etch

Numerical Simulation and Air Conditioning System Improvement for the Experimental Hall at TLS J.C. Chang a, M.T. Ke b, Z.D. Tsai a, and J. R.

Critical Dimension Uniformity using Reticle Inspection Tool

Introduction CR-288 APPLICATION NOTE FOR BEOL SEMICONDUCTOR PROCESSING. Authors: Christopher Wacinski and Wiley Wilkinson

Bayesian Inference Technique for Data mining for Yield Enhancement in Semiconductor Manufacturing Data

VACUUM TECHNOLOGIES NEEDED FOR 3D DEVICE PROCESSING

Cycle Time Analysis for Wafer Revisiting Process in Scheduling of Single-arm Cluster Tools

A New Method to Forecast Enrollments Using Fuzzy Time Series

Development of an Automatic Sampling Module to Monitor Concentration of Liquid-Borne Nanoparticles

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Chapter 2 Examples of Applications for Connectivity and Causality Analysis

Using Visible Laser Based Raman Spectroscopy to Identify the Surface Polarity of Silicon Carbide

Across-wafer CD Uniformity Enhancement through Control of Multi-zone PEB Profiles

Modelling the shape of electron beam welding joints by neural networks

Competitive Advantages of Ontos7 Atmospheric Plasma

Energy-Efficient Real-Time Task Scheduling in Multiprocessor DVS Systems

ADVANCED process control (APC) has been recognized

Technology Improvement and Fault TCP Etch Chamber and a Dual Frequency Oxide Etch Chamber

Quantization for Distributed Estimation

Research Article A PLS-Based Weighted Artificial Neural Network Approach for Alpha Radioactivity Prediction inside Contaminated Pipes

1038. Adaptive input estimation method and fuzzy robust controller combined for active cantilever beam structural system vibration control

422

A Support Vector Regression Model for Forecasting Rainfall

Data Mining. Chapter 1. What s it all about?

Engine fault feature extraction based on order tracking and VMD in transient conditions

Application of Big Data Technology in Evaluation of Operating Status of High-pressure Hydrogenation Heat Exchanger

Interconnect Yield Model for Manufacturability Prediction in Synthesis of Standard Cell Based Designs *

TIME-SERIES forecasting is used for forecasting the

A Wavelet Neural Network Forecasting Model Based On ARIMA

Time-Series Analysis Prediction Similarity between Time-series Symbolic Approximation SAX References. Time-Series Streams

EE290H F05. Spanos. Lecture 5: Comparison of Treatments and ANOVA

Design of Safety Monitoring and Early Warning System for Buried Pipeline Crossing Fault

QUALITY DESIGN OF TAGUCHI S DIGITAL DYNAMIC SYSTEMS

Intelligent Control of a SPM System Design with Parameter Variations

The Research of Railway Coal Dispatched Volume Prediction Based on Chaos Theory

On the Problem of Error Propagation in Classifier Chains for Multi-Label Classification

Multilevel Kernel Methods for Virtual Metrology in Semiconductor Manufacturing

Hybrid data mining approach for pattern extraction from wafer bin map to improve yield in semiconductor manufacturing

COMPARISON OF CLEAR-SKY MODELS FOR EVALUATING SOLAR FORECASTING SKILL

Nearest Correlation Louvain Method for Fast and Good Selection of Input Variables of Statistical Model

Run to run control in tungsten chemical vapor deposition using H 2 ÕWF 6 at low pressures

Designing a quality gain-loss function for smaller-the-better characteristic under not neglecting the linear term loss 1

A novel intelligent predictive maintenance procedure for electrical machines

Transcription:

International Journal of Computational Intelligence Systems, Vol. 7, Supplement 2 (July 2014), 66-73 A virtual metrology approach for maintenance compensation to improve yield in semiconductor manufacturing Kuo-Yi Lin 1 Chia-Yu Hsu 2* Hui-Chun Yu 1 1 Department of Industrial Engineering and Engineering Management, National Tsing Hua University, No. 101, Section 2 Kuang Fu Road, Hsinchu 30013, Taiwan 2 Department of Information Management, Yuan Ze University, No. 135, Yuan-Tang Road Chungli, Taoyuan, 32003, Taiwan Received 22 January 2014 Accepted 17 March 2014 Abstract Process condition was changed by preventative maintenance that may lead to the inconsistency of process output. In practice, process engineers have difficulties to discover the inconsistency that the defect wafer may have been produced before the next measurement. This study proposes a virtual metrology (VM) approach for maintenance compensation in semiconductor manufacturing. The tool process parameter streams were collected to predict the product metrology value, and a relation model was built to adjust the equipment settings for product recipe compensation. An empirical study in maintenance compensation of chemical vapor deposition (CVD) was conducted in a Taiwan semiconductor company for validation. The thicness difference caused by maintenance was reduced from 571Å to 77Å in training data and 564Å to 210Å in testing data. The results showed practical viability of the proposed approach and an intelligent system embedded with the developed algorithm has been implemented. Keywords: virtual metrology, manufacturing intelligence, maintenance, yield enhancement, chemical vapor deposition (CVD), semiconductor manufacturing enhancement 1. Introduction Efficient management of maintenance and control for semiconductor manufacturing equipment are essential to improve productivity and yield. action is identified by process engineer based on different policies such as Run-to-Failure (R2F) maintenance, scheduled maintenance, Condition-Based (CBM) and Predictive (PdM) 1. Tool maintenance is conducted via monitoring of the machine or process performance with different level of complexity and efficiency. Process engineer conducts maintenance actions to improve uptime and availability and to reduce operational cost and scrap 2. However, the process condition will change after the maintenance action. The change of process condition will lead to the inconsistent process output. After the further batch process of wafer manufacturing, the inconsistent process output may become a quality issue. As the device dimension shrins further, tighter process control is needed 3. The inconsistent process output may not be discovered in time and defect wafer may have been produced before the next measurement. This will result in a wafer yield loss. To deal with this problem, virtual metrology (VM) is proposed to predict the post-process metrology variables by using the in-situ measurements and the wafer state information. As a currently practiced, VM * Corresponding Author: cyhsu@saturn.yzu.edu.tw. 66

Kuo-Yi Lin, Chia-Yu Hsu, Hui-Chun Yu can solve the time waste problem for waiting the metrology in the process control, but not deal with the time consuming problem for optimal equipment settings. Some existing prior literatures have been related to runto-run (R2R) 4,5, wafer-to-wafer (W2W) control 6, advanced process control framewor 7 and VM systems to predict faults 8. However, little research has been done on maintenance compensation for yield enhancement. The temporal patterns of process variables are important signals of manufacturing behavior 9. It is essential to diagnose tool effectiveness and reduce the yield loss. This study aims to propose a VM approach for equipment maintenance compensation in semiconductor manufacturing that uses the real time tool process parameter streams to predict the product metrology value, and building the relation model to compensate values of the product recipe to adjust the equipment settings for yield enhancement. The proposed approach includes three parts. First, data preprocessing is used to signify steps within a process run and calculate summarized indicators. In this study, we generate five statistics for each tool parameters. They are standard deviation, average, range, max positive difference, and max negative difference. Second, one product metrology value is applied as the analysis target to build the prediction model for the preprocessing data and the target. Finally, a compensate model is constructed for modification of the recipe for a given process step based on the results measured on the same product at a previous process step. An empirical study was conducted in a fab for chemical vapor deposition (CVD) maintenance compensation for validation. The results showed practical viability of the proposed approach. The remainder of this study is organized as follows. Section 2 introduces the fundamental of VM. Section 3 describes the proposed VM approach for maintenance compensation in semiconductor manufacturing. Section 4 presents an empirical study and the results for validation. Section 5 concludes this study with discussions of contributions and future research directions. 2. Literature Review Real-time equipment parameter data such as temperature, pressure, gas flow, and power, were recorded as wafers pass through the process for equipment monitoring by advanced information technology and sensors in advanced 300 mm fabrication 9. These collected equipment sensor data are related to the equipment condition and in-situ metrology such as thicness and critical dimension. Although metrology data provide useful information for R2R control, however, these data are sampling due to the limited metrology capacity. Moreover, metrology data are also delay for feedbac control because of wafer shipment, and measurement 5. In order to measure in-situ process output for ensuring the quality, VM is a prediction of metrology variables based on the state of the equipment 4-6. First, VM is used to predict the process quality without actually inspection 10-12 in order to enhance the whole product inspection as possible. Literatures have shown the potential of VM to cope with metrology delay to wafer-to-wafer (W2W) control 7 13. Moreover, a factory-wide control method was proposed for semiconductor manufacturing. 5 In particular, VM module provides predicted metrology value based on equipment sensor data and previous metrology for run-to run (R2R) control and process control. In order to solve metrology delays, consistent process drifts, and sudden shifts in process drifts, a recursive partial least squares method for VM module was used to update the linear regression model based on the actual metrology data for semiconductor W2W control 6. The global and local VM models for plasma etch rates prediction were proposed 14. In particular, windowed Gaussian process regression models has lower error of etch rate prediction than partial least square, artificial neural networs. To enhance prediction accuracy, a dynamic-moving-window scheme for VM model refreshing 15. Additionally, a VM based baseline predictive maintenance scheme that possesses the capabilities of fault defection and classification and PdM 16. The feasibility of real-time VM was investigated by using plasma impedance monitor data for real-time control of plasma electron density and plasma etching rate 17. Although VM approaches have been used for process control in semiconductor manufacturing, however, little research has been done on recipe adjustment without prior measurement after equipment maintenance. In this study, VM was used to estimate the process outputs of wafers for recipe adjustment after equipment preventive maintenance. Based on the prediction of metrology value, process engineer can compensate the process outputs in advance via adjust process parameters to reduce yield loss. 67

Virtual metrology for maintenance compensation 3. Virtual Metrology Model for Compensation The notations and terminologies used in this study are listed as follows: multivariance white noise sequence, mean = 0 and variance = 2 number of process output number of process variables vector of average drift rates ˆ estimate of vector of average drift rates standard deviation A system gain matrix  estimate of system gain matrix C coefficient matrix for the process variables Ĉ estimate of coefficient matrix for the process variables i index of wafer number after maintenance j index of statistics index of process run n sample size after maintenance target values of the process outputs u process inputs or recipe settings u process inputs vector that is the recipe at the start of run u compensate value of recipes at run v process variables vector at run y process outputs, metrology data ŷ y VM conjecture value of y process output vector obtained at the end of run The proposed VM approach for semiconductor manufacturing predictive maintenance containing three elements: maintenance and data preprocessing, virtual metrology, and process compensation, is illustrated in Fig. 1. 3.1. and data preprocessing Process engineer conducts maintenance by evaluating the condition of equipment. In the process, a large amount of data will be automatically or semiautomatically recorded in the database. Data includes process parameter which acquired from production equipment and wafer metrology after the manufacturing process. However, the collected data often include noisy, missing and inconsistent data. Thus, data preparation can improve the quality of the data to enhance the analytical results. Data preparation includes data cleaning, data integration, data transformation and data reduction. In particular, various types of parameter cause the output variation. To identify the parameter change relates to process output variation, statistics include standard deviation, average, range, max positive difference and max negative difference were considered in the prediction model, which showed as Fig. 2. Product +(A+C)u u y Process Data VM Process Compensation and statistics Uncontrollable Controllable Material flow Information flow Fig. 1. VM approach for maintenance compensation in semiconductor manufacturing 68

Kuo-Yi Lin, Chia-Yu Hsu, Hui-Chun Yu Range Standard Deviation Max Positive Difference Average Max Negative Difference Fig. 2. Statistics for diagnosis of the parameter change Before model construction, the analysis dataset can be partition into % training dataset and 1% testing dataset. Training data is used to derive the rules and testing data is used to estimate the validity of prediction model. 3.2. Virtual Metrology The VM approach is devised for predicting the process output without actual measurement. s and statistics can be divided to controllable and incontrollable. In this study, all of the combinations of parameters and statistics were being considered in the general linear multi-input-multi-output (MIMO) model to derive the relationship of process input and output. The general linear ( ) MIMO approach of this paper based on 5 as shown in Eq. (1). y u A v C (1) j where y R 1 is the process output vector obtained at the end of run, u R 1 is the process inputs vector that is the recipe at the start of run, j is the index of statistics, v R 1 is the process variable vector at run, A is the system gain matrix, C is the coefficient matrix for the variables, R 1 is a vector of average drift rates, and is a multvariance white noise sequence. The prediction model was shown as Eq. (2). yˆ u Aˆ v Cˆ ˆ j (2) where ŷ is the estimate process output vector obtained at the end of run, Â is the estimate system gain matrix, Ĉ is the estimate coefficient matrix for the variables in the process model, and ˆ is the estimate vector of average drift rates. The accuracy calculated from the testing data is quantified by the mean absolute errors (MAE). Its formula is represented as Eq. (3): n 1 MAE y i y (3) i n i1 where ŷ is the VM conjecture value of y, y is the target value, n is the sample size after maintenance, and i is the index of wafer number after maintenance. The closer the MAE value is to zero, the better the accuracy of the model would be. The prediction results of VM should be validated by testing data and evaluated by domain experts before the process control actions. 69

Virtual metrology for maintenance compensation 3.3. Process Compensation The process control stage is proposed to compensate the process outputs via change process recipes, the compensate model is shown as Eq. 4. For the process control of controllable parameter and controllable statistics were considered in process compensation. u Aˆ u Aˆ v Cˆ ˆ j (4) where u is the compensate value of recipes at run and is the target value of process outputs. 4. Empirical Study To validate the proposed approach, an empirical study was conducted for a semiconductor company CVD process in a leading semiconductor company in Taiwan. CVD is a process used to develop thin film in wafer via deposit chemical via shower head. In this study, CVD chamber includes six groups of shower heads and rotating pedestal, which is shown as Fig. 3. Each wafer processes six deposition steps in the CVD process. In the process, process parameter includes chemical lea rate, power, temperature, and pressure collected by various sensors and recorded. To avoid deformations on the wafer, it is important that parameters are performed homogeneous during the process. In this study, the engineer conducts maintenance actions to avoid the yield lose of process drift. As the prior study, the best scheduled maintenance intervention is every 45 wafers. However, maintenance induces the chamber conditions change that the deposition rate falls rapidly. There are thicness Gas & LF Power differences of wafers before and after maintenance. Fig. 4 includes one maintenance cycle data. The maintenance cycle data is the metrology data of 13 wafers which includes the thicness of wafer before maintenance (wafer 1 and 2) and the thicness of wafer after maintenance (wafer 4~14). As Fig. 4, there is a thicness difference between wafer 2 and wafer 4. The thicness difference is 697 Å that out of the given specification (350 Å) of the same lot. It is necessary to compensate the thicness difference to avoid the yield loss in the further Chemical Mechanical Polishing (CMP) process. Without losing generality, the data have been systematically transformed for proprietary information protection of the case company. 4.1 and data preprocessing Total 47 wafers with metrology data and 9 process variables process data were collected during CVD process, which include 4 maintenance cycles, each cycle include wafer thicness and 9 parameters related to chemical lea rate, Low Frequency (LF) forward power, LF load, LF reflect, High Frequency (HF) forward power, HF load, HF reflect, pressure, and temperature. In particular, five statistics for each tool parameters were considered. They are standard deviation, average, range, max positive difference, and max negative difference. Therefore, 45 statistics of parameter were be generated for construct the VM prediction model. In order to validate the prediction model, the data were split into training data (3 cycles) and testing data (1 cycle). & & & & & Unload with film Load Temperature & HF Power with film Unload Load Fig. 3. CVD Chamber: scheme and horizontal section 70

Kuo-Yi Lin, Chia-Yu Hsu, Hui-Chun Yu Thicness (Å) Thicness (Å) Thicness difference =697 Å LCL LCL Fig. 4. Thicness differences of wafers before and after maintenance Fig. 5. Cycle 1 results of prediction model Thicness (Å) 4.2 Virtual Metrology According to the general linear ( 1 9 ) MIMO approach the prediction model is shown as Eq. 5. yˆ ˆ ˆ ˆ uj A vc (5) u 10213 460 ˆ chemical lea rate Average The prediction results of training data (maintenance cycle 1~3) and testing data (maintenance cycle 4) are shown as in Figures 5 to 8. The MAE of maintenance Cycle 1 is shown as Eq. 6. The MAE of various maintenance cycles listed in Table 1. n 1 MAEmaintenance Cycle1 y i yi n i1 1 ( 36.27 211.71 115.21 8.89 9 110.98 183.01 20.45 22.73 55.42 ) 84.97 (6) LCL Fig. 6. Cycle 2 results of prediction model Thicness (Å) LCL Table 1. MAE in various maintenance cycles Cycle 1 Cycle 2 Cycle 3 Cycle 4 MAE 84.97 94.5 96.5 98.1 Fig. 7. Cycle 3 results of prediction model Thicness (Å) The MAE of training data and testing data are consistent that shows the validity of the proposed prediction model. As the results, the average error of maintenance cycles is low, which means the accuracy of prediction model is high. Process engineers can identify the inconsistent process output without interrupt the process. LCL Fig. 8. Cycle 4 results of prediction model 71

Virtual metrology for maintenance compensation 4.3 Process Compensations According to the discussion with domain engineers, the results in maintenance cycles 1 to 4 were shown as Figures 9 to 12. Decision support was provided before the each maintenance. Process engineers can change the recipe base on the decision support table to compensate the thicness variation to reduce the thicness difference via the intelligent system embedded with the developed algorithm. After the process compensation, the thicness of maintenance cycles were performed from THK to improved THK. The thicness difference of improved THK is much smaller than the thicness difference of THK. Table 2 showed the thicness difference caused by maintenance was reduced from 571Å to 77Å in training data and 564Å to 210Å to testing data. Table 2. Improve rate of proposed approach Training C1 C2 C3 Testing Actual Difference (Å ) 413 602 697 564 Control result (Å ) -115 79 36-210 Improve Rate 72% 87% 95% 62% Thicness (Å) Decision Support (Recipe) 3854 2.3 -> 2.637 Fig. 11. Decision support table of Cycle 3 and Improved results Thicness (Å) Decision Support (Recipe) 3919 2.3 -> 2.8 Thicness (Å) Decision Support (Recipe) 3744 2.3 -> 2.469 Fig. 12. Decision support table of Cycle 4 and Improved results 5. Conclusions Fig. 9. Decision support table of Cycle 1 and Improved results Thicness (Å) Decision Support (Recipe) 3849 2.3 -> 2.7275 Fig. 10. Decision support table of Cycle 2 and Improved results Effective maintenance is critical to prevent yield losses from particle contamination and process drift, while maintaining tool productivity. However, the wafer thicness after CVD processing falls rapidly after maintenance that causes extra cost and time. This study proposed a VM approach to reduce thicness variance by adjusting recipes to compensate the thicness difference. The analysis results have shown practical viability of the proposed approach for yield enhancement and reduce the loss. Further studies can be done to extend the proposed approach to the tools in other process steps and thus derive now-how for implementing the proposed approach for virtual metrology and effective maintenance for advanced equipment control. Future research can also be done to employ data mining to analyze big data of related equipment factors that can be collected in advanced wafer fabs to extract useful manufacturing intelligence for maintenance and yield enhancement 18-20. 72

Kuo-Yi Lin, Chia-Yu Hsu, Hui-Chun Yu Acnowledgements This research is supported by National Science Council, Taiwan (NSC102-2221-E-155-093), Hsinchu Science Par (102A26), and Macronix (100A0258JC). References 1 G.A. Susto, A. Beghi and C. DeLuca, A predictive maintenance system for epitaxy processes based on filtering and prediction techniques, IEEE Trans. Semicond. Manuf. 25(4) (2012) 638 649. 2 A. Chen and J. Blue, Recipe-independent indicator for tool health diagnosis and predictive maintenance, IEEE Trans. Semicond. Manuf. 22(4) (2009) 522 535. 3 C.-A. Kao, F.-T. Cheng, W.-M. Wu, F.-W. Kong and H.- H. Huang, Run-to-run control utilizing virtual metrology with reliance index, IEEE Trans. Semicond. Manuf. 26(1) (2013) 256 261. 4 J. Moyne, E. del Castillo and A. M. Hurwitz, Run-to-Run Control in Semiconductor Manufacturing (Boca Raton, FL: CRC, 2001). 5 A.A. Khan, J.R. Moyne and D. M. Tilbury, An approach for factory-wide control utilizing virtual metrology, IEEE Trans. Semicond. Manuf. 20(4) (2007) 364 375. 6 A. A. Khan, J. R. Moyne and D. M. Tilbury, Virtual metrology and feedbac control for semiconductor manufacturing process using recursive partial least squares, J. Process Control 18(10) (2008) 961 974. 7 S.K. Fan and Y.J. Chang, An integrated advanced process control framewor using run-to-run control, virtual metrology and fault detection, J. Process Control, 23(7) (2013) 933 942. 8 F.-T. Cheng, H.-C. Huang and C. A. Kao, Developing an automatic virtual metrology system, IEEE Trans. Autom. Sci. Eng. 9 (1) (2012) 181 188. 9 C.-F. Chien, C.-Y. Hsu and P.-N. Chen, Semiconductor fault detection and classification for yield enhancement and manufacturing intelligence, Flex. Serv. Manuf. J. 25(3) (2013) 367 388. 10 F.-T. Cheng, H.-C. Huang and C.-A. Kao, Dual-Phase Virtual Metrology Scheme, IEEE Trans. Semicond. Manuf. 20(4) (2007) 566 571. 11 F.-T. Cheng, Y.-T. Chen, Y.-C. Su and D.-L. Zeng, Evaluating reliance level of virtual metrology system, IEEE Trans. Semicond. Manuf. 21(1) (2008) 92 103. 12 Y.-C. Su, T.-H. Lin, F.-T. Cheng and W.-M. Wu, Accuracy and real-time consideration for implementing various virtual metrology algorithms, IEEE Trans. Semicond. Manuf. 21(3) (2008) 426 434. 13 A. Weber, Virtual metrology and your technology watch list: Ten things you should now about this emerging technology, Future Fab Int., 22 (2007) 52 54. 14 S.A. Lynn, J. Ringwood and N. MacGearailt, Global and local virtual metrology models for a plasma etch process, IEEE Trans. Semicond. Manuf. 25(1) (2012) 94 103. 15 W.M. Wu, F.T. Cheng and F. W. Kong, Dynamicmoving-window scheme for virtual-metrology model refreshing, IEEE Trans. Semicond. Manuf. 25(2) (2012) 238 246. 16 Y.S. Hsieh, F.-T. Cheng, H.-C. Huang, C.R. Wang, S.C. Wang and H.C. Yang, VM-based baseline predictive maintenance scheme, IEEE Trans. Semicond. Manuf. 26(1) (2013) 132 144. 17 S.A. Lynn, N. MacGearailt and J. V. Ringwood, Realtime virtual metrology and control for plasma etch, J. Process Control, 22(4) (2012) 666 676. 18 C.-F. Chien, W.-C. Wang and J. Cheng, Data mining for yield enhancement in semiconductor manufacturing and an empirical study, Expert Syst. Appl. 33(1) (2007) 192 198. 19 C.-F. Chien, S.-C. Hsu and Y.-J. Chen, A system for online detection and classification of wafer bin map defect patterns for manufacturing intelligence, Int. J. Prod. Res. 51(8) (2013) 2324 2338. 20 H.-C. Yu, K.-Y. Lin and C.-F. Chien, Hierarchical indices to detect equipment condition changes with high dimensional data for semiconductor manufacturing, J. Intell. Manuf. (2013) 1-11 doi 10.1007/s10845-013- 0785-3. 73