SILICON DIOXIDE TO POLYSILICON SELECTIVITY OF A C2F6/CHF3 DRY ETCH PROCESS

Similar documents
Section 3: Etching. Jaeger Chapter 2 Reader

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

CHAPTER 6: Etching. Chapter 6 1

Reactive Ion Etching (RIE)

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

ETCHING Chapter 10. Mask. Photoresist

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Device Fabrication: Etch

Etching: Basic Terminology

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

Copyright Warning & Restrictions

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

LECTURE 5 SUMMARY OF KEY IDEAS

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Wet and Dry Etching. Theory

Plasma Etch Tool Gap Distance DOE Final Report

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

DEPOSITION AND COMPOSITION OF POLYMER FILMS IN FLUOROCARBON PLASMAS*

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS 1 MDL NTHU

The Gaseous Electronic Conference GEC reference cell as a benchmark for understanding microelectronics processing plasmas*

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #7. Due: Friday, Oct. 24, 2014, 8:00 a.m. in the EE 143 homework box near 140 Cory

Competitive Advantages of Ontos7 Atmospheric Plasma

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

EFFECT OF OXYGEN ADDITION ON SIDEWALLS OF SILICON SQUARE MICRO-PIT ARRAYS USING SF 6 BASED REACTIVE ION ETCHING

Equipment Innovation Team, Memory Fab. Center, Samsung Electronics Co. Ltd. San#16, Banwol, Taean, Hwansung, Kyungki, , Republic of Korea

Plasma Deposition (Overview) Lecture 1

Lithography and Etching

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

CVD: General considerations.

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

Etching. Etching Terminology. Etching Considerations for ICs. Wet Etching. Reactive Ion Etching (plasma etching) Professor N Cheung, U.C.

Evaluation of plasma strip induced substrate damage Keping Han 1, S. Luo 1, O. Escorcia 1, Carlo Waldfried 1 and Ivan Berry 1, a

Tool- and pattern-dependent spatial variations in silicon deep reactive ion etching

Lecture 7 Oxidation. Chapter 7 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/82

Introduction to Photolithography

Chapter 9, Etch. Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm

Patterning Challenges and Opportunities: Etch and Film

Influence of Loading Position and Reaction Gas on Etching Characteristics of PMMA in a Remote Plasma System

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

EE C245 ME C218 Introduction to MEMS Design Fall 2010

Plasma-Surface Interactions in Patterning High-k k Dielectric Materials

IC Fabrication Technology

ELEC 7364 Lecture Notes Summer Etching. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

Plasma etching. Bibliography

Evaluation of the plasmaless gaseous etching process

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

Chapter 7 Plasma Basic

NNCI ETCH WORKSHOP - STANFORD NNCI PLASMA ETCH OVERVIEW. Usha Raghuram Stanford Nanofabrication Facility Stanford, CA May 24, 2016

Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Feature Profile Evolution during Shallow Trench Isolation (STI) Etch in Chlorine-based Plasmas

DO NOT WRITE YOUR NAME OR KAUST ID NUMBER ON THIS PAGE OR ANY OTHER PAGE PUT YOUR EXAM ID NUMBER ON THIS PAGE AND EVERY OTHER PAGE YOU SUBMIT

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Proceedings Silicon Sacrificial Layer Technology for the Production of 3D MEMS (EPyC Process)

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

Deuterium and fluorine radical reaction kinetics on photoresist*

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2016 C. NGUYEN PROBLEM SET #4

Time-of-Flight Flow Microsensor using Free-Standing Microfilaments

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

EE-612: Lecture 22: CMOS Process Steps

(12) (10) Patent No.: US 7, B2 Geng et al. (45) Date of Patent: Jun. 26, 2007

III V Semiconductor Etching Process Quality Rather Than Quantity. Alan Webb. (formally of Plessey, GEC, Marconi, Bookham, Oclaro etc...

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Gas utilization in remote plasma cleaning and stripping applications

DQN Positive Photoresist

Fundamentals of ANALOG TO DIGITAL CONVERTERS: Part I.3. Technology

Chapter 8 Ion Implantation

Chapter 7. Plasma Basics

Ellipsometric spectroscopy studies of compaction and decompaction of Si-SiO 2 systems

Study of C 4 F 8 ÕN 2 and C 4 F 8 ÕArÕN 2 plasmas for highly selective organosilicate glass etching over Si 3 N 4 and SiC

The effect of the chamber wall on fluorocarbonassisted atomic layer etching of SiO 2 using cyclic Ar/C 4 F 8 plasma

Profile simulation of gas chopping based etching processes

Regents of the University of California

Etching Capabilities at Harvard CNS. March 2008

Cl 2 -Based Dry Etching of GaN and InGaN Using Inductively Coupled Plasma

Oxidation of Si. Why spend a whole lecture on oxidation of Si? GaAs has high m and direct band no oxide

Defect management and control. Tsuyoshi Moriya, PhD Senior Manager Tokyo Electron Limited

Defense Technical Information Center Compilation Part Notice

PRINCIPLES OF PLASMA PROCESSING Course Notes: Prof. J. P. Chang PART B6: PLASMA SURFACE KINETICS

Numerical Simulation: Effects of Gas Flow and Rf Current Direction on Plasma Uniformity in an ICP Dry Etcher

Application note. Trace level analysis of sulfur, phosphorus, silicon and chlorine in NMP using the Agilent 8800 Triple Quadrupole ICP-MS

Effects of plasma treatment on the precipitation of fluorine-doped silicon oxide

Direct Measurement of Adhesion Energy of Monolayer Graphene As-Grown. on Copper and Its Application to Renewable Transfer Process

ECE611 / CHE611: Electronic Materials Processing Fall 2017 John Labram Solutions to Homework 2 Due at the beginning of class Thursday October 19 th

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Fabrication Technology, Part I

Plasma Chemistry Study in an Inductively Coupled Dielectric Etcher

Plasma Enhanced Chemical Vapor Deposition (PECVD) of Silicon Dioxide (SiO2) Using Oxford Instruments System 100 PECVD

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Chemistry Standard level Paper 1

MEMS Mechanical Fundamentals

Supplementary Information. Atomic Layer Deposition of Platinum Catalysts on Nanowire Surfaces for Photoelectrochemical Water Reduction

Effect of HF and Plasma Treated Glass Surface on Vapor Phase-Polymerized Poly(3,4-ethylenedioxythiophene) Thin Film : Part II

CHARACTERIZATION OF DEEP REACTIVE ION ETCHING (DRIE) PROCESS FOR ELECTRICAL THROUGH-WAFER INTERCONNECTS FOR PIEZORESISTIVE INERTIAL SENSORS

Transcription:

SLCON DOXDE TO POLYSLCON SELECTVTY OF A C2F6/CHF3 DRY ETCH PROCESS Craig L. Kuhi 5th Year Microelectronic Engineering Student Rochester nstitute of Technology ABSTRACT The etch rates and selectivity of thermally grown silicon dioxide and polysilicon were characterized on a 2406 PLASMATRAC with a C2F6/CHF3 gas mixture. At a gas flow, CHF3 concentration, chamber pressure, and power of 60 sccm, 65~, l50mtorr, and 255watts, respectively a 6.3:1 silicon dioxide to polysilicon selectivity occurred with an oxide etch rate 612 A/mm. THEORY With the advent of smaller critical geometries isotropic wet etch processes have been largely replaced by anisotropic dry etch mechanisms. Unfortunately, the good selectivities that have been associated with wet etching are difficult to achieve with dry etch processes. This is particularly true in the case of silicon dioxide.to polysilicon [1]. One way to increase the selectivity of silicon dioxide to polysilicon is to lower the fluorine to carbon ratio of the etch gas [1]. As shown in Figure 1, this can be explained by the reactions that occur between the etchant species and the two films. Silicon dioxide etching occurs when C2F6 molecules collide with electrons and rupture into CF3 radicals [2]. Subsequently, secondary reactions produce CFx radicals that dissociatively chemisorb on the silicon dioxide [3]. Once on the surface, the fluorine atoms reacts with the silicon and the carbon radicals react with the oxygen in the Si02 to form volatile products that are pumped from the chamber [4]. This exposes the silicon dioxide surface to new radicals that can continue etching. Fortunately, the carbon radicals over polysilicon don t have any oxygen available which would result volatile products. This causes a nonvolatile Table 1ReporteclSelect,vif,es 3 carbonaceous polymer to Gas F,CRat,o SiOZS,Selectiv#y build up the surface and inhibit etching [5] Table 1 CF4 41 shows various gasses, their G2F6 31 31 fluorine to carbon ratios, C3F8 211 51 and the selectivities that 3 21 101 have been reported[2]. 144

The ion bombardment shown in Figure 1 reduces the selectivity, but is needed to produce an anisotropic etch. The balance between selectivity and anisotropy can be controlled by changing the power and pressure of the plasma. ~ the chamber pressure is decreased or the power is increased the amount of ion bombardment, and thus anisotropy, increases[5j. Figure 1: Chemical and Physical Mechanisms ofa L2 ~. AlE Process Prima y Reaction As shown below, the ions generated 4- e~2 Cl~+ e in the reactions assist the etching 1) Cieating damage 10 the Sibstrale surlace Secondaty Reactions 2) t)issoc1atjng~reactant moiecuies~ 2 ~F-~ CF~2 + C~ 3) Removingsome involawe residues e~* CF -i- F + e Positive on Bombardment ~C~+F+2e ~ [ :R~:.: :~~ ~ ~ ~ ~ j without ion CF + Sq ~ SiF4+ CO, CC)2, CO~ A boinbarcimen CF Si -* carbonacous polymer on $1 /011 assisted (sm~ ~ etch ivte (1W9e) ~ second method to increase the selectivity is to add hydrogen to the etchant gas. The added hydrogen reacts with fluorine atoms to form HF. Since HF is a stable compound, the fluorine to carbon ratio is decreased. This causes the etch rate of polysilicon to decrease monotonically to a value around zero [6] while the etch rate of silicon dioxide to remain relatively constant. Unfortunately, hydrogen is extremely explosive and presents a safety concern. To combat this, a self-supplying hydrogen gas, such as CHF3, can be used. n this experiment a combination of these methods were used to study the selectivity of silicon dioxide to polysilicon in a 2406 Plasmatrac. C2F6 was used as the primary source of fluorine and CHF3 was used to supply the hydrogen. EXPERMENT The study utilized a central composite statistical design in RS1. With a 30sccm. gas flow, the CHF3 concentration, power, and pressure of the plasma were varied from 0 to 45~, 200 to 500watts, and 50 to 200mtorr respectively. The study included Taylor series regression models for the etch rate of silicon dioxide, the selectivity of silicon dioxide to polysilicon, and the uniformity of the silicon dioxide and polysilicon etch rates. The models were interpreted using contour plots. The curves on the plots are lines of equal response. 145

Eighteen wafers were prepared for the experiment. Sixteen were used to study the etch rate of silicon dioxide, the selectivity of silicon dioxide to polysilicon, and the etch rate uniformities of silicon dioxide and polysilicon. The other two were processed at the optimal conditions indicated by the RS1 response surface The substrates that were used are depicted in Figure 2. They were prepared by lithographically defining horizontal 1.2 urn. thick KTB2O photoresist lines and vertical 6500A thick polysilicon lines on silicon wafers with 4500A of thermally grown oxide. Figure 2: Wafer Layout~ Key: ~ ~ $llicon Substrate wivi 4500A silicon ~ioxide m Photoresist lkies-~ on top of El Polysdicon lines The film thicknesses were measured with a Nanospec and verified with a profilometer. The oxide and photaresist measurements were absolute and the polysilicon measurements were relative. This is due.to the thickness of the oxide under the polysilicon. The etch rates were determined from the average of three best fit remaining thickness versus etch time plots, the percent uniformity was calculated from Equation 1, and the selectivities were found by dividing the appropriate etch rates. RESULTS/DSCUSSONS A summary of the process conditions and results are shown in Table 2. i~ Tab~2: Process Gohdlltion.c~ndR~~cidLc Controlled_Factors Etch Rate (A/mm) Selectivity Uniformity % CHF3 Power Pressure Oxide Poly Ox. to Po Poly Oxide 1 200 500 45.0 332.7 207.0 1.61 20.2 5.2 2 200 500 0.0 693.0 797.1 0.87 16.0 5.2 3 200 200 45.0 352.3 167.9 2.10 31.0 2.0 4 50 200 0.0 785.6 575.1 1.37 6.2 2.3 5 200 200 0.0 331.1 577.9 0.57 7.5 2.2 6 125 350 22.5 1098.2 836.6 1.31 15.7 0.9 7 50 350 22.5 1274.2 990.3 1.29 13.6 3.2. 8 125 350 0.0 995.3 789.1 1.26 31.6 0.9 9 125 350 45.0 1328.2 544.6 2.44 8.4 3.5 10 125 500 22.5 1155.5 869.3 1.33 29.0 1.1 11 200 350 22.5 887.2 740.0 1.11 19.3 3.1 ~ 125 350 22.5 1132.3 909.0 1.25 8.4 1.1 13 50 500 45.0 1560.6 721.2 2.16 29.2 1.1 14 50 500 0.0 1320.0 1258.6 1.39 11.7.. 3.9 15 50 200 45.0 725.1 412.8 1.76 9.9 5.1 16 125 200 22.5 514.1 371.6 1.38 4.6 2.7 146

Figures 3-6 are computer generated contour plots of the Taylor series models for the responses. Figure 3 indicates that selectivity increases with CHF3 concentration and is a slight function of RF power. The etch rate of silicon dioxide increases with power, but is relatively unaffected by changes in CHF3 concentration. t also indicates that polysilicon etch rate uniformity decreases with RF power or CHF3 concentration. Figure 4 shows that the etch rate of silicon dioxide decreases with pressure and polysilicon uniformity decreases with pressure. Pi comparison of Figures 3 and 4 confirms the indications about silicon dioxide etch rate and selectivity. Figure 5 predicts a maximum selectivity within the design space of 2.25 at a CHF3 concentration of 45~, a pressure of 112.7 mtorr, and an RF power of 365.8 watts with a 1207 ~/min silicon dioxide etch rate. Figure 6 depicts the predicted uniformities with in the design space with a 45~ CHF3 concentration. Both of these Figures indicate that a higher selectivity would be achieved at a higher CHF3 concentration. Pr...r. ~ P...e.~ ~O w.~t * ~, ~e. tch ~t* (&/.~,) ~ ~*C~ ~ W.n).tt,tty.f ~id. t.~ ~ *f ~iai U PYG~1~*(% U~t.~Ety Pt P~P~1~C~ %Ct 1~fW~ty at Ps1y~i1Uen t~h Fi;ure ~x Oxide etch rate, selectivity, and polysilicon etch rate uniformity as a function -vf power and CHF3 concentration. Figure 4: Selectivity, and oxide and polysilicon etch rate uniformities as a function of power and CHF3 concentration. bu~) ~tl. P~W a.t. (A/Pt.n) k1~uv*ty Pt~ U Pa1yt1P.~ Figure ~: Oxide etch rate and selectivity as a function of power and pressure. ~um bu~ ~.t ~U U P.1y.t1~W~ UttWat~y at p.~t.t1t... a.h Uu.. at.ty it t.*a. 1w, Figure 6: Same as Figure 5 with responses of selectivity, oxide and polysilicon 147

The Taylor series models for the maximum selectivity predicted that the power and pressure should be set at 255 watts and 150 mtorr with a 65X CHF3 concentration. At these settings a decrease in oxide etch rate was also predicted. To increase the predicted etch rate, a 60sccm. gas flow was used.the measured selectivity and silicon dioxide etch rate at these parameters were 6.3:1 and 612 A/mm, respectively. CONCLUS ONS This experiment provided valuable information on the concentrations that should be used to maximize the silicon dioxide to polysilicon selectivity in a CHF3/C2F6 dry etch process. CHF3 should be used as the primary gas with a concentration between 45 and 85~. The exact concentration should be determined from the desired silicon dioxide etch rate and selectivity. The results from within the experimental design space resulted in a 2.44:1 oxide to polysilicon selectivity at an oxide etch rate of 1328 A/mm. As indicated by the Taylor series response, an additional etch process was ran at a chamber power, pressure, CHF3 concentration, and gas flow of 255 watts, 150 mtorr, 65~, and 60 sccm, respectively. This resulted in a 6.3:1 selectivity at an oxide etch rate of 612 A/mm. ACKNOWLEDGEMENTS would like to thank Dr. Richard Lane for his supervision and guidance, Mike Jackson for his continual support of the project, and Gary Runkle for the maintenance he provided on the PLASMATRAC. REFERENCE [1] Russ A. Morgan, Plasma Etching in Semiconductor Fabrication,(Elsevier Science Publishers, New York, 1995), p. 52. [2] Russ A. Morgan, Plasma Etching in Semiconductor Fabrication,(Elsevier Science Publishers, New York, 1985), pp. 57-61. [3] S. Matsuo, J. Vac. Sci. and Tech., Vol 17, No 2, Mar./April 1980, p.587. [4] S. Wolf and R.N. Tauber, Silicon Processing: for the VLS Era Volume 1- Process Technology,(Lattice Press, Sunset Beach, California,1987), p. 548. [5] S~M Sze, VLS Technology, (McGraw-Hill Book Company, 1983), pp. 326-327. [6] S.M. Sze, Semiconductor Devices; Physics and Technology, (John Wiley and Sons, 1985), p. 463. 148