EECS 579: Test Generation 4. Test Generation System

Similar documents
Built-In Test Generation for Synchronous Sequential Circuits

Logic BIST. Sungho Kang Yonsei University

Outline Automatic Test Pattern Generation

On Application of Output Masking to Undetectable Faults in Synchronous Sequential Circuits with Design-for-Testability Logic

Combinational Equivalence Checking using Boolean Satisfiability and Binary Decision Diagrams

EGFC: AN EXACT GLOBAL FAULT COLLAPSING TOOL FOR COMBINATIONAL CIRCUITS

EECS 579: Logic and Fault Simulation. Simulation

Test Generation for Designs with Multiple Clocks

Hardware testing and design for testability. EE 3610 Digital Systems

Testability Measures controllability observability Controllability Observability

ECE 1767 University of Toronto

MONSOON: SAT-based ATPG for Path Delay Faults Using Multiple-Valued Logics

SIMULATION-BASED APPROXIMATE GLOBAL FAULT COLLAPSING

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Chapter 6 Testability Analysis

Outline Fault Simulation

Generation of High Quality Non-Robust Tests for Path Delay Faults

An instance of SAT is defined as (X, S)

UMBC. At the system level, DFT includes boundary scan and analog test bus. The DFT techniques discussed focus on improving testability of SAFs.

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

SIGNATURE ROLLBACK WITH EXTREME COMPACTION A TECHNIQUE FOR TESTING ROBUST VLSI CIRCUITS WITH REDUCED HARDWARE OVERHEAD

A New Multiple Weight Set Calculation Algorithm

Algorithms for ATPG under Leakage Constraints

Lecture (08) Synchronous Sequential Logic

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Fast Static Learning and Inductive Reasoning with Applications to ATPG Problems

CpE358/CS381. Switching Theory and Logical Design. Class 16

VLSI System Testing. Testability Measures

Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults

Structural Delay Testing Under Restricted Scan of Latch-based Pipelines with Time Borrowing

EECS150 - Digital Design Lecture 23 - FFs revisited, FIFOs, ECCs, LSFRs. Cross-coupled NOR gates

Fault Collapsing in Digital Circuits Using Fast Fault Dominance and Equivalence Analysis with SSBDDs

VLSI Design Verification and Test Simulation CMPE 646. Specification. Design(netlist) True-value Simulator

Lecture 10: Sequential Networks: Timing and Retiming

IHS 3: Test of Digital Systems R.Ubar, A. Jutman, H-D. Wuttke

An Efficient Flip-Flops Matching Engine

Automatic Test Pattern Generation for Resistive Bridging Faults

(a) For e/0, two vectors, abc = {011, 111} can detect it. Thus. (b) For e/1, one vector, abc = 010 can detect it. Thus

Sequential Circuit Analysis

EECS 579: SOC Testing

15.1 Elimination of Redundant States

One-Dimensional Linear Hybrid Cellular Automata: Their Synthesis, Properties and Applications to Digital Circuits Testing

EECS150 - Digital Design Lecture 16 Counters. Announcements

EECS150 - Digital Design Lecture 26 Error Correction Codes, Linear Feedback Shift Registers (LFSRs)

CprE 281: Digital Logic

Linear Feedback Shift Registers (LFSRs) 4-bit LFSR

Test Pattern Generator for Built-in Self-Test using Spectral Methods

Fault Tolerant Computing CS 530 Random Testing. Yashwant K. Malaiya Colorado State University

Relating Entropy Theory to Test Data Compression

A Sixteen-Valued Algorithm for Test Generation in Combinational Circuits

Testability. Shaahin Hessabi. Sharif University of Technology. Adapted from the presentation prepared by book authors.

Quality of Minimal Sets of Prime Implicants of Boolean Functions

WITH increasing complexity in systems design from increased

EXPERIMENT Traffic Light Controller

Fault Modeling. 李昆忠 Kuen-Jong Lee. Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan. VLSI Testing Class

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

Fault Tolerant Computing CS 530 Random Testing. Yashwant K. Malaiya Colorado State University

Timing Analysis. Andreas Kuehlmann. A k = max{a 1 +D k1, A 2 +D k2,a 3 +D k3 } S k. S j. Required times: S ki. given required times on primary outputs

SAT in Formal Hardware Verification

Design for Testability

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Constructions on Finite Automata

A Hardware Approach to Self-Testing of Large Programmable Logic Arrays

Digital Logic and Design (Course Code: EE222) Lecture 19: Sequential Circuits Contd..

Single Stuck-At Fault Model Other Fault Models Redundancy and Untestable Faults Fault Equivalence and Fault Dominance Method of Boolean Difference

Synchronous Sequential Circuit

Primary Outputs. Primary Inputs. Combinational Logic. Latches. Next States. Present States. Clock R 00 0/0 1/1 1/0 1/0 A /1 0/1 0/0 1/1

Lecture 7: Logic design. Combinational logic circuits

Advanced Testing. EE5375 ADD II Prof. MacDonald

EECS150 - Digital Design Lecture 11 - Shifters & Counters. Register Summary

EECS150 - Digital Design Lecture 21 - Design Blocks

Ranking Verification Counterexamples: An Invariant guided approach

ECE 512 Digital System Testing and Design for Testability. Model Solutions for Assignment #3

Lecture 8: Sequential Multipliers

HW1 graded review form? HW2 released CSE 20 DISCRETE MATH. Fall

Faculty of Engineering. FINAL EXAMINATION FALL 2008 (December2008) ANSWER KEY

Lecture 14: State Tables, Diagrams, Latches, and Flip Flop

L10 State Machine Design Topics

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

Sequential Circuits Sequential circuits combinational circuits state gate delay

Fault Tolerant Computing CS 530 Fault Modeling. Yashwant K. Malaiya Colorado State University

SAT-Solving: From Davis- Putnam to Zchaff and Beyond Day 3: Recent Developments. Lintao Zhang

A Compiled-Code Parallel Pattern Logic Simulator With Inertial Delay Model

Stéphane Lafortune. August 2006

CMPEN 411 VLSI Digital Circuits Spring 2012 Lecture 17: Dynamic Sequential Circuits And Timing Issues

EECS150 - Digital Design Lecture 15 SIFT2 + FSM. Recap and Outline

EECS150 - Digital Design Lecture 23 - FSMs & Counters

Latency Analysis for Sequential Circuits

INFORMATION THEORETIC AND SPECTRAL METHODS OF TEST POINT, PARTIAL-SCAN AND FULL-SCAN FLIP-FLOP INSERTION TO IMPROVE INTEGRATED CIRCUIT TESTABILITY

Logic Minimization. Two-Level. University of California. Prof. Srinivas Devadas. Prof. Richard Newton Prof. Sanjit Seshia. Prof.

USING SAT FOR COMBINATIONAL IMPLEMENTATION CHECKING. Liudmila Cheremisinova, Dmitry Novikov

Sequential Equivalence Checking without State Space Traversal

Sequential Logic (3.1 and is a long difficult section you really should read!)

Reliability of Technical Systems

ECE 3060 VLSI and Advanced Digital Design

ELEN Electronique numérique

ECE380 Digital Logic. Synchronous sequential circuits

Test Generation for Designs with On-Chip Clock Generators

L4: Karnaugh diagrams, two-, and multi-level minimization. Elena Dubrova KTH / ICT / ES

Transcription:

EECS 579: Test Generation 4 Other Combinational ATPG Algorithms SOCRATES Structure-Oriented Cost-Reducing Automatic TESt pattern generation [Schultz et al. 988] An ATPG system not just a test generation algorithm Main Features Learning-based ATPG Seeding with random tests Fast combinational fault simulation built-in More complex implications (= learning) More complex unique sensitization Allows higher-level primitives John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page Test Generation System Compactor Circuit description Fault list Test patterns SOCRATES with fault simulator Aborted faults Undetected faults Redundant faults Backtrack distribution John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 2

Learning Strategy SOCRATES assign imply > imply assign 0 a f a f 0 Contrapositive law: (P Q) is equivalent to (!Q!P) (a = ) (f = ), so!(f = )!(a = ), so (f = 0) (a = 0) John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 3 Unique Sensitization SOCRATES When a is the only D-frontier signal, find dominators of a and set their inputs unreachable from a to Find dominators of single D-frontier signal a and make their common input signals non-controlling John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 4

Critical Path ATPG Method References: Abramovici et al. book [990]. Originally used in LASAR (Logic Automated Stimulus And Response) [Thomas 97] Main Features Fault-independent ATPG Derives tests for many faults without targeting specific faults Relies primarily on Justification procedure Values assigned to lines on sensitized paths are termed critical Basic Steps Select a PO and assign it a (critical) 0 or value v Recursively justify v by assigning critical values to gate inputs wherever possible John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 5 Critical Path Method 0 Critical 0 0 Critical Non-critical John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 6

Critical Path Method other than j John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 7 Critical Path Method Advantages Eliminates need for separate fault simulation step New tests can be quickly generated by modifying preceding tests Disadvantages Test generation steps tend to overlap causing unnecessary repetition Conflicts occur when justifying several paths. May have to replace critical patterns (cubes) by noncritical patterns Does relatively unsystematic exploration of circuit paths Multiple-path sensitization is usually ignored, so some detectable faults may be missed John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 8

Random Test Generation Uses a simple ATPG algorithm implemented in hardware or software Random actually means pseudorandom Resembles a random in that every pattern is equally likely (uniform distribution) Test sequences are repeatable (and technically deterministic) Test vectors are not usually repeated Simulation is required to determine fault coverage May be combined with deterministic testing in hybrid approach. Use random testing to detect easy faults 2. Use deterministic ATPG algorithm (PODEM, FAN, etc.) to detect the residual hard faults Generally requires far more test vectors to achieve high coverage John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 9 Random Test Generation Quality Measures Testing quality T N : Probability that all detectable SSL faults are detected by N random vectors Detection quality d N : Probability that the hardest-to-detect SSL fault is detected by N random vectors. d N = min d f N over all faults {f} If T f is the set of all faults that detect fault f in an n-input circuit, then the probability that a random vector detects f is d f = T f /2 n Estimate of the number N of random tests needed to achieve testing quality c [Savir and Bardell 984]: N t = ln( c) ln( k) ---------------------------------------- ln( d min ) where k is the number of faults with detection probability between d min and 2d min John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 0

General ATPG Quality Measures Fault Coverage = No. of detected faults / Total no. of faults Fault Efficiency = No. of detected faults / (Total no. of faults No. of undetectable faults) Test generation time = (Normalized) CPU time to generate tests for all detectable faults on benchmark circuits Benchmark Circuits ISCAS-85 (combinational) and ISCAS-89 (sequential) www.cbl.ncsu.edu/cbl_docs/bench.html#benchlist ITC 99: www.cerc.utexas.edu/itc99-benchmarks/bench.html John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page Test Set Compaction Simulation-Based Compaction Suppose a test set with deterministic and random patterns has been generated Fault-simulate the test patterns in reverse order of generation Examine the deterministic patterns first Examine the randomly-generated patterns last When coverage reaches 00%, discard all remaining test patterns This usually significantly reduces the test set John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 2

Test Set Compaction Static Compaction ATPG algorithm should leave unassigned inputs as X Two patterns are compatible if they have no conflicting values for any primary input Combine two compatible tests t a and t b into one test t ab using D-intersection The combined tests t ab detects the union of faults detected by t a and t b After ATPG, combine as many tests as possible (order-dependent) Dynamic Compaction Process every partially-assigned ATPG pattern immediately Assign 0 or to unassigned (X) primary inputs in a way that detects additional faults John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 3 Test Set Compaction Example Given the four member test set {t,t2,t3,t4}, where t = 0 X t2 = 0 X t3 = 0 X 0 t4 = X 0 Combine t and t3 first. Then combine t2 and t4 to obtain: t3 = 0 0 t24 = 0 0 Test set size has been reduced from reduced from 4 to 2 John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 4

Sequential Circuit Testing X n Combinational circuit C m Z CLOCK q Flipflops M p Characteristics Tests are sequences of input vectors Much harder to test than combinational circuits Two circuit types: asynchronous and synchronous John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 5 Sequential Circuit Testing Basic Approaches State table analysis Machine identification (checking sequence) method Time-frame expansion: combinational ATPG methods such as PODEM) areapplied to an iterative logic array (ILA) model of the target sequential circuit Simulation-based methods Key Assumptions Unknown initial state (self-initializing tests) Known initial state John P. Hayes University of Michigan EECS 579 Fall 200 Lecture 0: Page 6