A TIME DEPENDENT DIELECTRIC BREAKDOWN (TDDB) MODEL FOR FIELD ACCELERATED LOW-K BREAKDOWN DUE TO COPPER IONS

Similar documents
Modeling of dielectric reliability in copper damascene interconnect systems under BTS conditions

ESE 372 / Spring 2013 / Lecture 5 Metal Oxide Semiconductor Field Effect Transistor

23.0 Review Introduction

Characterization of Charge Trapping and Dielectric Breakdown of HfAlOx/SiON Dielectric Gate Stack

Section 12: Intro to Devices

Stretching the Barriers An analysis of MOSFET Scaling. Presenters (in order) Zeinab Mousavi Stephanie Teich-McGoldrick Aseem Jain Jaspreet Wadhwa

Chapter 12: Electrical Properties. RA l

collisions of electrons. In semiconductor, in certain temperature ranges the conductivity increases rapidly by increasing temperature

Oxide growth model. Known as the Deal-Grove or linear-parabolic model

Introduction to Semiconductor Physics. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Diffusion. Diffusion = the spontaneous intermingling of the particles of two or more substances as a result of random thermal motion

Modeling of Integrated Circuit Interconnect Dielectric Reliability Based on the Physical Design Characteristics. Changsoo Hong

e - Galvanic Cell 1. Voltage Sources 1.1 Polymer Electrolyte Membrane (PEM) Fuel Cell

Quiz #1 Practice Problem Set

Homework 6: Gate Dielectric Breakdown. Muhammad Ashraful Alam Network of Computational Nanotechnology Discovery Park, Purdue University.

Section 7: Diffusion. Jaeger Chapter 4. EE143 Ali Javey

CVD: General considerations.

30. BREAKDOWN IN DIELECTRICS WITH DEFECTS

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Chenming Hu.

J. Price, 1,2 Y. Q. An, 1 M. C. Downer 1 1 The university of Texas at Austin, Department of Physics, Austin, TX

Advanced Analytical Chemistry Lecture 12. Chem 4631

DEPARTMENT OF ELECTRICAL ENGINEERING DIT UNIVERSITY HIGH VOLTAGE ENGINEERING

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

HOT-CARRIER RELIABILITY SIMULATION IN AGGRESSIVELY SCALED MOS TRANSISTORS. Manish P. Pagey. Dissertation. Submitted to the Faculty of the

Section 12: Intro to Devices

Lecture 15: Optoelectronic devices: Introduction

Properties of Materials

Carriers Concentration, Current & Hall Effect in Semiconductors. Prof.P. Ravindran, Department of Physics, Central University of Tamil Nadu, India

Diodes. anode. cathode. cut-off. Can be approximated by a piecewise-linear-like characteristic. Lecture 9-1

Metal Semiconductor Contacts

Introduction To Materials Science FOR ENGINEERS, Ch. 5. Diffusion. MSE 201 Callister Chapter 5

pn JUNCTION THE SHOCKLEY MODEL

Plasma Deposition (Overview) Lecture 1

Materials and Devices in Electrical Engineering

Ion Implant Part 1. Saroj Kumar Patra, TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

MME 467: Ceramics for Advanced Applications

8.1 Drift diffusion model

ECE 142: Electronic Circuits Lecture 3: Semiconductors

Electrical material properties

1 Name: Student number: DEPARTMENT OF PHYSICS AND PHYSICAL OCEANOGRAPHY MEMORIAL UNIVERSITY OF NEWFOUNDLAND. Fall :00-11:00

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

Basic Physics of Semiconductors

Electrical Properties

Extensive reading materials on reserve, including

Experimental and theoretical understanding of Forming, SET and RESET operations in Conductive Bridge RAM (CBRAM) for memory stack optimization

Materials and Devices in Electrical Engineering

Thermal Oxidation of Si

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Semiconductor Device Physics

Materials and Devices in Electrical Engineering

Accelerated Life Test Principles and Applications in Power Solutions

AVALANCHE-INJECTED CURRENT IN MNOS STRUCTURES

In all electrochemical methods, the rate of oxidation & reduction depend on: 1) rate & means by which soluble species reach electrode surface (mass

Session 5: Solid State Physics. Charge Mobility Drift Diffusion Recombination-Generation

Lecture 2. Semiconductor Physics. Sunday 4/10/2015 Semiconductor Physics 1-1

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Charge Carriers in Semiconductor

16EC401 BASIC ELECTRONIC DEVICES UNIT I PN JUNCTION DIODE. Energy Band Diagram of Conductor, Insulator and Semiconductor:

EE143 LAB. Professor N Cheung, U.C. Berkeley

arxiv:cond-mat/ v1 31 Oct 2001

UNIVERSITY OF CALIFORNIA. College of Engineering. Department of Electrical Engineering and Computer Sciences. Professor Ali Javey.

PRINCIPLES OF PLASMA DISCHARGES AND MATERIALS PROCESSING

RELIABILITY CHARACTERIZATION AND PREDICTION OF HIGH K DIELECTRIC THIN FILM. A Dissertation WEN LUO

EE650R: Reliability Physics of Nanoelectronic Devices Lecture 18: A Broad Introduction to Dielectric Breakdown Date:

DIFFUSION IN SOLIDS. IE-114 Materials Science and General Chemistry Lecture-5

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Lecture 1. OUTLINE Basic Semiconductor Physics. Reading: Chapter 2.1. Semiconductors Intrinsic (undoped) silicon Doping Carrier concentrations

4. STRUCTURES AND DEFECTS IN CRYSTALS

Chapter 3 Engineering Science for Microsystems Design and Fabrication

Novel Devices and Circuits for Computing

CONSTANT CURRENT STRESS OF ULTRATHIN GATE DIELECTRICS

Objective: The purpose of these notes is to familiarize students with semiconductors and devices including the P-N junction, and the transistors.

Part II Electrical Properties of Materials

Normally-Off GaN Field Effect Power Transistors: Device Design and Process Technology Development

Classification of Solids

Lecture 8. Equations of State, Equilibrium and Einstein Relationships and Generation/Recombination

A semiconductor is an almost insulating material, in which by contamination (doping) positive or negative charge carriers can be introduced.

Semiconductor Detectors

Energy fluxes in plasmas for fabrication of nanostructured materials

Electrical Conduction in Ceramic Materials 1 Ref: Barsoum, Fundamentals of Ceramics, Ch7, McGraw-Hill, 2000

ADVANCED UNDERGRADUATE LABORATORY EXPERIMENT 20. Semiconductor Resistance, Band Gap, and Hall Effect

Solid State Physics SEMICONDUCTORS - IV. Lecture 25. A.H. Harker. Physics and Astronomy UCL

Diffusion and Adsorption in porous media. Ali Ahmadpour Chemical Eng. Dept. Ferdowsi University of Mashhad

LECTURE 5 SUMMARY OF KEY IDEAS

Influence of electrode materials on CeO x based resistive switching

White Paper. Temperature Dependence of Electrical Overstress By Craig Hillman, PhD

Review Energy Bands Carrier Density & Mobility Carrier Transport Generation and Recombination

V BI. H. Föll: kiel.de/matwis/amat/semi_en/kap_2/backbone/r2_2_4.html. different electrochemical potentials (i.e.

How does a polymer LED OPERATE?

Ionization Detectors. Mostly Gaseous Detectors

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

An interfacial investigation of high-dielectric constant material hafnium oxide on Si substrate B

Kinetics. Rate of change in response to thermodynamic forces

= (1) E inj. Minority carrier ionization. ln (at p ) Majority carrier ionization. ln (J e ) V, Eox. ~ 5eV

MODEL MECHANISM OF CMOS DEVICE FOR RELIBILITY ENHANCEMENT

MODELING RELIABILITY IN COPPER/LOW-K INTERCONNECTS AND VARIABILITY IN CMOS

13 AN ELECTROCHEMICAL METHOD FOR ACCELERATED TESTING OF CHLORIDE DIFFUSIVITY IN CONCRETE

ISSUES TO ADDRESS...

Objective: The purpose of these notes is to familiarize students with semiconductors and devices including the P-N junction, and the transistors.

The German University in Cairo. Faculty of Information Engineering & Technology Semiconductors (Elct 503) Electronics Department Fall 2014

Transcription:

Presented at the COMSOL Conference 2008 Boston A TIME DEPENDENT DIELECTRIC BREAKDOWN (TDDB) MODEL FOR FIELD ACCELERATED LOW-K BREAKDOWN DUE TO COPPER IONS Ravi S. Achanta, Joel L. Plawsky and William N. Gill Department of Chemical and Biological Engineering, Rensselaer Polytechnic Institute, Troy, NY-280

Failure of Dielectrics Depends on the Concentration of Impurities Dielectrics can be in contact with a metal (Cu), a diffusion barrier (Ta, TaN, TiN, W, Al ) or a hard mask (Si nitride). Dielectrics and metals should be inert, but some interaction is necessary to promote adhesion. Reactions and diffusion needed for adhesion adversely affect the reliability of interconnect structures. Interactions are governed by reactions between the dielectric and the deposited film and the diffusion of injected material due to concentration gradients and an applied electric field. R.Gonella, Microlectron.Engg.55, 245, (200) 2

Our Proposed Cu Injection Mechanism Cu interacts with the interfacial oxygen and moisture to form nonstoichiometric oxide (Cu x O y ) The combination of moderate temperatures (< 300 C) and an external electric field during operation may induce the breakdown of the copper oxide to ions. The Cu oxide, acts as the source of the Cu ions that are available for diffusion. Cu 2 Cu x O y Cu + Ox. e- h + Cu + Cu + Cu + Dielectric 3 Cu ions, driven by the applied field, drift through the dielectric. R. Achanta, W.N.Gill, J.L.Plawsky and G.Haase., JVST B, 24, 47, (2006). 3

Copper Injection Depends on the Hydrophilic Nature of the Dielectric 000 50 0 C Xerogel(k=3.2, MV/cm) [Cu+] ions X 0 20 /m 3 00 0 SiCOH,k=2.7, MV/cm,250 0 C O2 plasma treated SiLK(k=2.65, MV/cm) Pa-n(k=2.65,0.5 MV/cm) SiLK(k=2.65, MV/cm) MSQ (k=2.2, MV/cm) Increasing Hydrophobicity 0 20 40 60 80 00 20 40 Time(min) Increasing hydrophobicity leads to less metal ion injection. 4

Modeling Copper Ion Drift/Diffusion Species: Cu + ions Surface reaction to form Cu oxide and Cu ion source is very rapid. Cu + mass flux consists of thermal and field assisted diffusion (drift): Continuity Equation C t = D 2 C x + µ 2 x C V x Poisson equation 2 V x 2 Einstein Relation = qc kdε 0 µ = qd kt Nomenclature C : concentration of Cu + V : voltage µ : mobility of species D : diffusivity of Cu + k B : boltzmann constant q : elementary charge k D : dielectric constant ε o : permittivity of vacuum T : temperature 5

Boundary Conditions-Failure Criterion Initial Conditions: t = 0 C( x,t)= 0 V ( 0,t)= 0 V ( L,t)= 0 Boundary Conditions: x = 0 C( 0,t)= C e V ( 0,t)= V o x = L V ( L,t)= 0 C( L,t)= 0 or J = D C x µc V x = 0 Failure is assumed when E(L) exceeds intrinsic breakdown strength, E bd 6

Concentration Profiles.4.2 C(t,L)=0 & J(t,L)=0 at 5 min C/Ce 0.8 0.6 0.4 J=0 C(t,L)=0 & J(t,L)=0 at 60 min J(t,L)=0 at 50 min 0.2 C=0 0 0 0.2 0.4 0.6 0.8.2 x/l Simulation parameters: C(t,L)=0 at 50 min C e =0 7 at/cm 3, D=0-20 m 2 /s, T=250 C, L=200 nm, V 0 =20 V, k D =2.7, E app = MV/cm 7

Electric Field Profiles E/E app.6.4.2 0.8 0.6 0.4 0.2 C (t,l)=0 5 min 30 min 60 min 300 mins 600 min 800 min E/E app 3 2.5 2.5 0.5 J (t,l)=0 5 min 30 min 60 mins 300 mins 600 mins 800 min 0 0 0.2 0.4 0.6 0.8 0 0 0.2 0.4 0.6 0.8.2 x/l x/l Steady state quickly reached for C(t,L)=0. Field increase is significant only for J(t,L) = 0 boundary condition at x=l. Steady state is reached in the body of the dielectric but not at x=l until long after breakdown for the J(t,L)=0. 8

Mass Transfer Time f(c e,t,e app ) vs C e Curve Resembles Actual TTF Vs Cu Concn. Plot 0 7 EXPERIMENT MODEL f(c e,t,e app ) (s) 0 6 0 5 0 4 0 4 0 5 0 6 0 7 0 8 0 9 C e at/cm 3 Predicted model trends follow the actual trend in experimental data on time-to-failure for Metal/TEOS/Si capacitors. Key Points: a) Solubility of dopant is critical b) Matching of experimental data shows that J = 0 boundary condition is the correct one. 9

Time to Failure-Intrinsic and Extrinsic Effects Mass transfer effects (diffusion) alone are insufficient to totally describe dielectric failure. Failure can arise due to exposure to high temperatures or exposure to high fields, even in the absence of a dopant like Cu. Mass transfer promotes failure and defines how failure depends upon impurities. Thermally activated mechanisms have an Arrhenius dependence on temperature. E a is energy for bond disruption and diffusion vacancy creation. A is related to lattice vibrational frequency. A = 2 70 0 3 E a =.5eV γ = 0.45 0.8 TTF(s) = Aexp E a γ E app k B T f (C e,t, E app ) Intrinsic thermochemical effects Mass transfer effects 0

Considering Field Effect on Intrinsic Bond Breakage-After E-model Cu Th-SiO 2 (00 nm) 0000 000 250 C 230 C Si TTF(s) 00 Model Parameters C e =3X0 8 at/cm 3 L=00 nm k D =4 E bd =0 MV/cm 0 A = ( 2 to 70)X0-3 γ = (0.45 to 0.8) 0 0.5.5 2 2.5 3 3.5 4 E(MV/cm) Need improved theory to properly account for both temperature and electric field effect. Comsol simulation helped us to uncover the missing physics. *Data: S-S.Hwang, S-Y Jung, Y-C Joo, J.Appl.Phys. 0,07450 (2007)

New Model Based on Physics:E 2 app Dependence of Time to Failure The time to failure depends on E 2 app TTF(s) = Aexp E γ E 2 a app k B T f (C e,t, E app ) 0 8 SiO 50 0 C 0 7 2 80 0 C 200 0 C 0 6 230 0 C 0 5 250 0 C The induced dipole moment energy term (E 2 dependent) may be significantly higher than the permanent dipole moment energy term (E dependent) in the presence of copper ions. E * a = E a pe loc 2 α E 2 loc Permanent dipole moment energy TTF(s) 0 4 0 3 0 2 0 0 0 0.0 0.5.0.5 2.0 2.5 3.0 3.5 4.0 E (MV/cm) Induced dipole moment energy A = 2 0 3 γ = 0.05 E a =.5 2

Mass Transfer Model and Poole-Frenkel Conduction Based Model TTF(s) 0000 000 00 0 250 C P-F based mode l(sqrt E dependance) Our Mass transfer based model 0 0.5.5 2 2.5 3 3.5 4 E(MV/cm) Mass Transfer Model TTF(s) = Aexp E γ E 2 a app f (C k B T e,t, E app ) P-F conduction TTF ( s)= exp( 2.3 5.87 E ) app Mass transfer model reproduces the low field effects described by the P- F formulation. P-F model requires different constants determined separately at each temperature 3

Nanoporous Materials Model Results from -D model prompted the development of a 2-D model with discrete pores. Incorporates the elastic drift formulation. Unit cell model, periodic in the y-direction with thickness, δ. The dielectric is 00 nm thick (L) with 2 nm pores (2r o ). Model considers a range of porosities from 0-50%. No pore size dependence, yet. The pore dielectric constant can be varied independently of the matrix. y x 4

Model Equations - 2 or 3-D Continuity and Poisson s Equations C cu = g r D cu + α cu k B T C r r cu C cu µ cu C cu V t g r r ( k D ε o V )= qc cu Initial and Boundary Conditions t = 0 C cu = 0 V = 0 x = 0 C cu = C e V = V o x = L D cu + α cu k B T C r r cu C cu + µ cu C cu V = 0 V = 0 Cu ions (C cu ) Cu diffusivity (D cu ) Cu elastic stress constant (α cu ) y = 0,δ & pore surfaces D cu + α cu k B T C cu r C cu + µ cu C cu r V = 0 5

Failure Location Depends on the Pore Dielectric Constant E breakdown = 2742 k pore =.0 k pore = 0.0 20% Porous SiO 2 Failure Point Cathode End Failure Point Field Strength Field Strength Failure location depends upon the effective pore dielectric constant. Failure always occurs somewhere on the pore surface where the field peaks. Ion flow streamlines (lavender) follow the contours of the pores and are concentrated near the surface of the pores. Percolation path once failure occurs will follow along the surface of the pores. Simulations predict that the time-to-failure increases with increasing porosity. 6

Conclusions New models were developed that tie the solubility and mass transfer of Cu in the dielectric and the thermochemical interaction of Cu ions with the dielectric to better explain and predict Cu enhancement of the time to failure. Model successfully simulates and explains all the experimental data on SiO 2. Comsol was instrumental in helping uncover the physics governing the process. The results of the new model offer testable hypotheses regarding the reason for Cu failure enhancement and directions for developing new dielectric and barrier materials. Next steps are: 2 and 3-D simulations incorporating negatively charged (moisture) species that form the Cu ions. Anode reactions for the Cu ions Adsorption/desorption of moisture from pores (weak form on pore boundaries). Using Comsol predictions for failure to help guide experiments. 7

Elastic Drift/Diffusion Term-Accounting for High Concentrations When the concentration of copper ions in the dielectric becomes very high, (i.e when C(x)>>k B T/α where α is an elastic stress constant to account for the interaction between the ions and the dielectric and is ~ 2X0-44 J.m 3 ) elastic drift /diffusion flux becomes important and should be included in the analysis k B T/α =3X0 7 at/cm 3 @ 250 0 C J(t, x) = D C x α D kbt C C x µc V x Elastic drift/diffusion flux term to account for ion stuffing V.P.Romanov,Phys.Stat.Sol.(a). 70,525 (982) 8

Importance of Including Elastic Drift C(L)/C e at Breakdown 0 4 0 3 0 2 0 w Elastic Drift w/o Elastic Drift E bd = 000 MV/m Dimensionless Time to Failure 8 6 4 2 0. 8 6 4 2 0.0 8 6 4 2 Normal Device Operation w Elastic Term w/o Elastic Term C e = 3x0 24 at/m 3 0 0 2 4 6 8 2 4 6 8 0 23 0 24 C e (at/m 3 ) 0 25 0 26 2 4 6 8 0.00 0 00 200 Appled Field (MV/m) 300 400 Inclusion of elastic drift term causes a great change in the C(L) without much effect on the time to reach breakdown. In the figure the solid line is without the elastic drift term while the dotted lines are with the elastic drift included in analysis. The shaded region represents the uncertainty in the value of C e for SiO 2 9

Comparison: With and Without Elastic Drift/Diffusion Greater depth of penetration in the body of the dielectric with the elastic drift/diffusion term included. At the cathode (x=l) there is a greater back-drift of ions due to the additional elastic drift/diffusion term and steady state is quickly reached without too much build up of charge. At low applied fields(~0.4 MV/cm) the electric field at x=l,e(l) never reaches E bd as steady state is reached prior to it. C/Ce.4.2 0.8 0.6 0.4 0.2 0 250 0 C, MV/cm,C e =3X0 8 at/cm 3,k d =4 0 0.2 0.4 0.6 0.8 x/l 00 s 2000 s 4000 s 00 s no elastic drift 2000 s no elastic drift 4000 s no elastic drift High field reliability testing may give erroneous estimate of lifetime at operating conditions 20

Porous Dielectrics State at Breakdown Porous dielectrics will be used in future integrated devices. Manufacturers worry about premature failure. Model uses a unit cell approach with a 00 nm thick dielectric and 2 nm pores. Mass transfer model alone predicts an increase in time to failure with porosity due to a decrease in the mass flux through the solid (dry pores only). 2