Clean-Room microfabrication techniques. Francesco Rizzi Italian Institute of Technology

Similar documents
ETCHING Chapter 10. Mask. Photoresist

Etching Issues - Anisotropy. Dry Etching. Dry Etching Overview. Etching Issues - Selectivity

CHAPTER 6: Etching. Chapter 6 1

Lecture 6 Plasmas. Chapters 10 &16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/68

Section 3: Etching. Jaeger Chapter 2 Reader

Etching: Basic Terminology

CVD: General considerations.

Plasma Deposition (Overview) Lecture 1

EE 527 MICROFABRICATION. Lecture 24 Tai-Chang Chen University of Washington

LECTURE 5 SUMMARY OF KEY IDEAS

Wet and Dry Etching. Theory

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Fabrication Technology, Part I

DEPOSITION OF THIN TiO 2 FILMS BY DC MAGNETRON SPUTTERING METHOD

Photoresist Profile. Undercut: negative slope, common for negative resist; oxygen diffusion prohibits cross-linking; good for lift-off.

Repetition: Practical Aspects

Plasma etching. Bibliography

Lithography and Etching

Chapter 7 Plasma Basic

6.5 Optical-Coating-Deposition Technologies

Introduction to Photolithography

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Introduction to Plasma

Lecture 150 Basic IC Processes (10/10/01) Page ECE Analog Integrated Circuits and Systems P.E. Allen

Technology for Micro- and Nanostructures Micro- and Nanotechnology

Metal Deposition. Filament Evaporation E-beam Evaporation Sputter Deposition

Chapter 7. Plasma Basics

EE143 Fall 2016 Microfabrication Technologies. Lecture 6: Thin Film Deposition Reading: Jaeger Chapter 6

Device Fabrication: Etch

EE C245 ME C218 Introduction to MEMS Design Fall 2007

4FNJDPOEVDUPS 'BCSJDBUJPO &UDI

Section 5: Thin Film Deposition part 1 : sputtering and evaporation. Jaeger Chapter 6. EE143 Ali Javey

Reactive Ion Etching (RIE)

Lecture 15 Etching. Chapters 15 & 16 Wolf and Tauber. ECE611 / CHE611 Electronic Materials Processing Fall John Labram 1/76

TMT4320 Nanomaterials November 10 th, Thin films by physical/chemical methods (From chapter 24 and 25)

Etching Capabilities at Harvard CNS. March 2008

Modern Methods in Heterogeneous Catalysis Research: Preparation of Model Systems by Physical Methods

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Thin Film Deposition. Reading Assignments: Plummer, Chap 9.1~9.4

Introduction to Thin Film Processing

Dry Etching Zheng Yang ERF 3017, MW 5:15-6:00 pm

Top down and bottom up fabrication

EE-612: Lecture 22: CMOS Process Steps

E SC 412 Nanotechnology: Materials, Infrastructure, and Safety Wook Jun Nam

Overview of the main nano-lithography techniques

Nanostructures Fabrication Methods

Ionization Techniques Part IV

Semiconductor Technology

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Lecture 11. Etching Techniques Reading: Chapter 11. ECE Dr. Alan Doolittle

Chapter 6. Summary and Conclusions

Chapter 3 Engineering Science for Microsystems Design and Fabrication

The Stanford Nanofabrication Facility. Etch Area Overview. May 21, 2013

Nova 600 NanoLab Dual beam Focused Ion Beam IITKanpur

Nano fabrication by e-beam lithographie

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Introduction. Photoresist : Type: Structure:

Physics and Material Science of Semiconductor Nanostructures

Three Approaches for Nanopatterning

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Chapter 2 FABRICATION PROCEDURE AND TESTING SETUP. Our group has been working on the III-V epitaxy light emitting materials which could be

EE C245 ME C218 Introduction to MEMS Design Fall 2007

INTRODUCTION TO THE HYBRID PLASMA EQUIPMENT MODEL

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

MSN551 LITHOGRAPHY II

Institute for Electron Microscopy and Nanoanalysis Graz Centre for Electron Microscopy

3.155J/6.152J Microelectronic Processing Technology Fall Term, 2004

PHYSICAL VAPOR DEPOSITION OF THIN FILMS

Pattern Transfer- photolithography

Thin Wafer Handling Challenges and Emerging Solutions

Vacuum Pumps. Two general classes exist: Gas transfer physical removal of matter. Mechanical, diffusion, turbomolecular

Preparation of Nanostructures(Příprava Nanostruktur)

1 Introduction to Plasmas

Inductively Coupled Plasma Reactive Ion Etching of GeSbTe Thin Films in a HBr/Ar Gas

High-density data storage: principle

PHYSICAL AND CHEMICAL PROPERTIES OF ATMOSPHERIC PRESSURE PLASMA POLYMER FILMS

課程名稱 : 微製造技術 Microfabrication Technology. 授課教師 : 王東安 Lecture 6 Etching

Chemical Vapor Deposition (CVD)

Supplementary Materials for

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

Chemistry Instrumental Analysis Lecture 34. Chem 4631

20.2 Ion Sources. ions electrospray uses evaporation of a charged liquid stream to transfer high molecular mass compounds into the gas phase as MH n

EE C245 ME C218 Introduction to MEMS Design Fall 2010

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Photolithography II ( Part 1 )

Lecture 10. Vacuum Technology and Plasmas Reading: Chapter 10. ECE Dr. Alan Doolittle

Nanostructure. Materials Growth Characterization Fabrication. More see Waser, chapter 2

MICRO AND NANOPROCESSING TECHNOLOGIES

Chapter 2 On-wafer UV Sensor and Prediction of UV Irradiation Damage

T: +44 (0) W:

Nanoscale Issues in Materials & Manufacturing

Enhanced High Aspect Ratio Etch Performance With ANAB Technology. Keywords: High Aspect Ratio, Etch, Neutral Particles, Neutral Beam I.

Electron beam scanning

k T m 8 B P m k T M T

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Photonics applications 5: photoresists

Ultra-High Vacuum Technology. Sputter Ion Pumps l/s

Dynamization evolution of Dry Etch Tools in Semiconductor Device Fabrication Gordon Cameron Intel Corp (November 2005)

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

ELECTROMAGNETIC WAVES

Transcription:

Clean-Room microfabrication techniques Francesco Rizzi Italian Institute of Technology

Miniaturization The first transistor

Miniaturization The first transistor

Miniaturization The first transistor

Miniaturization The first transistor

The Moore Law Moore's Law : the number of transistors that can be placed on an integrated circuit is increasing exponentially, doubling approximately every two years.

Microtechnology dimensions Needs for micro- structures (100 nm 100 µm) realization : 1. Dimensions lower than airbor particles in atmosphere. 2. Thermal expansion and humidity in atmosphere inside laoratory have a dramatic impact on microstructures.

Clean Room: Laboratory where air fluxes, conditioning and filtering, building materials and operational protocols, are ruled such as environment cleanliness and thermo-hygrometric parameters are under control.

IIT Clean Room Technical Characteristics Controlled Temperature : 20 ± 1 C Humidity: 50 ± 5 % Air changes: 10 / hr 120 m 2 ISO 6 300 m 2 ISO 7 30 m 2 ISO 8 (pre-chamber) Services: Deionized Water Compressed Air Nitrogen Vacuum Chemical benches 1-4) Cappa Chmica 5 10) Armadi Aspirati 11 12) Cappe Litografia 13) Spinner alta precisione 14 19) Armadi Consumables Vetreria 20) e-beam evaporator 1 21 22) Predispisizioni e-beam evaporator 23 24) Banchi da lavoro 25) ICP 26) RIE 27) Plasma oxygen 28) PECVD 29) EBL 30) Mask Aligner 31) Injection molding 32) Laser Writer 33 36) Stufe 37) Banchi da lavoro 38) Imprinting 39) Polymer Ink Jet 40) Bonder 41) Profilometro + 2 microscopi 42 43) Evaporatori termico 44) Glove Box 45) Rapid Prototyping 46) Frigo 47) Vapour Primer Oven Ultra-pure technical gases: Cl 2, BCl 3, SiCl 4, HBr, SiH 2 Cl 2, He, SF 6, SiH 4, NH 3, N 2 O, CHF 3, CF 4, Ar, O 2, H 2, CH 4, miscela CH 4 /H 2 /Ar, C 4 F 8

MOSFET realization

The planar processing 1) Material growth 2) Ion implantation 3) Thin Films deposition 4) Pattern transfer

The planar processing 1) Material growth 2) Ion implantation 3) Thin Films deposition 4) Pattern transfer

The Microfabrication Technologies Optical Lithography

The Microfabrication Technologies Optical Lithography Etching of materials

The Microfabrication Technologies Optical Lithography Etching of materials Thin Films depostion methods

Optical Lithography Reproduction of a pattern: Expose a resist to open windows in a controlled way The origin of the lithographic process is linked to the original process invented by Nicephore Niepce in 1826 for the photography

Optical Lithography Reproduction of a pattern: Expose a resist to open windows in a controlled way The origin of the lithographic process is linked to the original process invented by Nicephore Niepce in 1826 for the photography Photoresist : photon sensitive polymer made of long or short chains; applied by spin coating

Optical Lithography Reproduction of a pattern: Expose a resist to open windows in a controlled way The origin of the lithographic process is linked to the original process invented by Nicephore Niepce in 1826 for the photography Photoresist : photon sensitive polymer made of long or short chains cromium

Optical Lithography Reproduction of a pattern: Expose a resist to open windows in a controlled way The origin of the lithographic process is linked to the original process invented by Nicephore Niepce in 1826 for the photography Photoresist : photon sensitive polymer made of long or short chains After UV light interact with polymer chain: cromium Positive photoresist: Long chain molecules are broken in short chain Negative photoresist: Short chain molecules are joined in long chain PAY ATTENTION: Long chain are insoluble in developer

Optical Lithography Reproduction of a pattern: Expose a resist to open windows in a controlled way The origin of the lithographic process is linked to the original process invented by Nicephore Niepce in 1826 for the photography UV EXPOSED PHOTORESIST Photoresist : photon sensitive polymer made of long or short chains After UV light interact with polymer chain: cromium Positive photoresist: Long chain molecules are broken in short chain Negative photoresist: Short chain molecules are joined in long chain PAY ATTENTION: Long chain are insoluble in developer

Lithography: Critical Dimensions Minimize t = difference between real transfer image and ideal transfer image Intensity 0.5: minimum dose for development Find a tradeoff between the gap g and the diffraction peak to repeat inside the photoresist the mask pattern

Lithography: Critical Dimensions 436nm, Hg-Xe Lamp + Optical Filter: CD 650 nm 365nm, Hg-Xe Lamp + Optical Filter : CD 350 nm 248nm, KrF Excimer Laser 193nm, ArF Excimer Laser F2: 157nm, F2Laser EUV : 13.4nm, Soft X-ray Intensity 0.5: minimum dose for development Minimize t = difference between real transfer image and ideal transfer image Find a tradeoff between the gap g and the diffraction peak to repeat inside the photoresist the mask pattern

Etching Selective removal of thin film(s) resulting in a desired thin film(s) pattern Etch mask photoresist or oxide/nitride material patterned by optical lithography and resistent to the etchant agent Etch mask After development Etching Mask Removal

Basic Concepts

Etching figure of merit Etch rate: rate of film removal, typically 100 nm/min Anisotropy: Anisotropyic etching is the preferred process

Etching figure of merit Etch rate: rate of film removal, typically 100 nm/min Anisotropy: Anisotropyic etching is the preferred process Selectivity: Etchant could attack substrate, not only film

Wet Etching

Etching Chemistry

Drawback of Wet Etching

Dry Etching Overview Critical dimension feature disappear

Dry Etching Overview Critical dimension feature disappear Dry Etching Material removal reactions occur by a gas phase etchant.

Dry Etching Overview Critical dimension feature disappear Dry Etching Material removal reactions occur by a gas phase etchant.

Physical Etching The gaseous ion, accelerated to the substrate, mechanically ejects substrate material Highly Anisotropic Non-Selective

Physical Etching The gaseous ion, accelerated to the substrate, mechanically ejects substrate material Highly Anisotropic Non-Selective Chemical Etching Thermalized neutral gaseous radicals chemically combine with substrate material forming volatile products Highly-Selective Isotropic

Physical Etching The gaseous ion, accelerated to the substrate, mechanically ejects substrate material Highly Anisotropic Non-Selective Chemical Etching Thermalized neutral gaseous radicals chemically combine with substrate material forming volatile products Highly-Selective Isotropic Combination of the two Ion bombardment enhances or promotes the reaction between an active species and the substrate material Controlled Anisotropy Adequate Selectivity

Plasma: the 4 th state of matter Screening potential near a point charge Plasma particles are free to move; don t feel each other Plasma-solid interface Decreasing Pressure increase the sheath area Constant potential inside the plasma; Plasma is on Strong electric field at the boundary; Plasma is off

The RF gas chamber RF 13,56 MHz RF Electric field produce plasma by glow discharge Plasma is used to crack molecules and/or drive chemical reactions useful for dry etching Electric potential is constant inside the bulk of plasma. The voltage drop is mostly across the sheat area, where the plasma is off, and ions are accelerated towards electrodes.

Physical Etching: the Ion Sputtering system In this process all of the RF voltage is applied to a smaller target electrode. A neutral gas is injected in a low pressure environment. Glow discharge is used to energize chemically inert ions or atoms (e.g., Ar). Atoms close to the sheat area are strongly accelerated bombarding the wafer. +

Chemical Etching: the Plasma Etching system The opposite of Sputtering In this process RF voltage is applied to a separate electrode while the target electrode is grounded. A gas is injected in a high pressure environment Glow discharge is used to produce chemically reactive species; the sheat field tend to be negligible: radicals or ions diffuse towards the wafer with low acceleration and remove material from the substrate by chemical means N Volatile product

Combination of Physical and Chemical: the Reactive Ion Etching system In this process all of the RF voltage is applied to a smaller target electrode; neutral gas is replaced by one or more halogen-rich chemical species at low pressure environment. Glow discharge is used to produce chemically reactive species and chemically inert ions; neutral ions bombards the substrate surface while radicals diffuse towards + N the wafer with negligible acceleration and remove material from the substrate by chemical means. Volatile product

High density plasma: Inductively Coupled Plasma Etching RF Power gas B B B B plasma wafer pump RF Power Ions and reactive species spiral around B field in the plasma going towards the wafer; this act on the plasma density, even at low pressure, changing the sheat area. RF voltage applied on the target electrode; RF current in the coil around the chamber induces a magnetic field in the chamber perpendicular to the target electrode. Glow discharge is used to produce chemically reactive species and chemically inert ions; Magnetic field controls the plasma density in the chamber; Electric field controls the ion energy; Control of ion energy and ion density select and balance independently the physical and chemical mechanism for etching

Ion Energy vs. Pressure for a Plasma Changing pressure and RF voltage for E and B field is possible to realise the most suitable process for each fabrication need.

Ion Energy vs. Pressure for a Plasma Changing pressure and RF voltage for E and B field is possible to realise the most suitable process for each fabrication need. Once finished the etching process, Mask etching photoresist is removed by Acetone

Thin Film Deposition techniques Vacuum deposition Methods Ultra High Vacuum (<10-8 mtorr) Physical Deposition: Material to be deposited is ready in pellet or disk 1. Magnetron Sputtering deposition (metal, dielectrics); 2. Thermal deposition (metal, dielectrics); 3. Electronic beam deposition (metal); Chemical Deposition: Material to be deposited is product of a chemical reaction 1. Electrodeposition (metal); 2. Chemical Vapor Deposition (CVD) (dielectrics);

Magnetron Sputtering B wafer Ar, N 2 Vacuum + 10-3 Torr Ar Ar E Target material Magnetic field increases plasma density and enhance the etching rate of the target material, at low pressure. Target material atoms deposit on the wafer placed on the opposite electrode. RF Power

Thermal Evaporation wafer wafer crucible crucible Vacuum pump A resisitively heated coil increase the temperature of material inside the crucible up to the sublimation point. Incandescent coil for heating Metallic or dielectric atoms evaporate towards the wafer. Crucible filled of metal or dielectric

wafer E-beam Evaporation Electron gun, under the crucible, ejects an intense, high energy beam, by thermionic effect. The strong magnetic field bends the beam causing it to collide on the surface of the crucible, filled by metal. Metallic atoms evaporate towards the wafer. B e - beam Permanent Magnet Crucible filled of metal HV E-gun Filament

Electrodeposition Electrodeposition is the process of coating a thin layer of one metal on top of a different metal by deposition of metallic ions in acid solution from one electrode to the opposite. Metal ion wafer Electrolitic solution Photoresist (insulator) to be removed

Chemical Vapor Deposition wafer susceptor

Chemical Vapor Deposition Gas precursors wafer susceptor In Chemical Vapor Deposition (CVD) the substances to be deposited (precursor) enters a chamber in a gaseous state.

Chemical Vapor Deposition Gas precursors Chemical reaction wafer susceptor In Chemical Vapor Deposition (CVD) the substances to be deposited (precursor) enters a chamber in a gaseous state. These gases can undergo reactions in this vaporous state before reaching the substrate.

Chemical Vapor Deposition Gas precursors Chemical reaction Products Gas exhaust wafer susceptor In Chemical Vapor Deposition (CVD) the substances to be deposited (precursor) enters a chamber in a gaseous state. These gases can undergo reactions in this vaporous state before reaching the substrate. As the gases approach the substrate, the molecules are adsorbed onto the surface; undergo a surface reaction with the substrate and products diffuse on the surface along the surface and form a stable thin film.

Chemical Vapor Deposition Gas precursors Chemical reaction Products Gas exhaust wafer susceptor In Chemical Vapor Deposition (CVD) the substances to be deposited (precursor) enters a chamber in a gaseous state. These gases can undergo reactions in this vaporous state before reaching the substrate. As the gases approach the substrate, the molecules are adsorbed onto the surface; undergo a surface reaction with the substrate and products diffuse on the surface along the surface and form a stable thin film. Parameters to favor reactions if more energy is needed: Increase temperature of wafer by resitive heater inside the susceptor (LPCVD).

Chemical Vapor Deposition Gas precursors Chemical PLASMA reaction Products Gas exhaust wafer susceptor In Chemical Vapor Deposition (CVD) the substances to be deposited (precursor) enters a chamber in a gaseous state. These gases can undergo reactions in this vaporous state before reaching the substrate. As the gases approach the substrate, the molecules are adsorbed onto the surface; undergo a surface reaction with the substrate and products diffuse on the surface along the surface and form a stable thin film. Parameters to favor reactions if more energy is needed: Increase temperature of wafer by resitive heater inside the susceptor (LPCVD). Apply a RF voltage to create a plasma if low temperature is needed (PECVD)

Shadow evaporation and Lift-off Lift off: the process to remove the mask deposition photoresist after deposition. Problem: if there is a continuous deposition layer, it s difficult to remove the photoresist. It s completely covered by coating; difficult to desolve by acetone. UV irradiation

Shadow evaporation and Lift-off Lift off: the process to remove the mask deposition photoresist after deposition. Problem: if there is a continuous deposition layer, it s difficult to remove the photoresist. It s completely covered by coating; difficult to desolve by acetone. Developement

Shadow evaporation and Lift-off Lift off: the process to remove the mask deposition photoresist after deposition. Problem: if there is a continuous deposition layer, it s difficult to remove the photoresist. It s completely covered by coating; difficult to desolve by acetone. Evaporation

Shadow evaporation and Lift-off Lift off: the process to remove the mask deposition photoresist after deposition. As opposite of etching, we need the photoresist to give a shadow effect to ease remotion of deposited film on it. It is possible using some chemicals before devolopment to make the unexposed photoresist stronger to development. UV irradiation

Shadow evaporation and Lift-off Lift off: the process to remove the mask deposition photoresist after deposition. As opposite of etching, we need the photoresist to give a shadow effect to ease remotion of deposited film on it. It is possible using some chemicals before devolopment to make the unexposed photoresist stronger to development. Chlorobenzene and developer Hardned photoresist layer by chlorobenzene: re-entrant profile.

Shadow evaporation and Lift-off Lift off: the process to remove the mask deposition photoresist after deposition. As opposite of etching, we need the photoresist to give a shadow effect to ease remotion of deposited film on it. It is possible using some chemicals before devolopment to make the unexposed photoresist stronger to development. Evaporation

Shadow evaporation and Lift-off Lift off: the process to remove the mask deposition photoresist after deposition. As opposite of etching, we need the photoresist to give a shadow effect to ease remotion of deposited film on it. It is possible using some chemicals before devolopment to make the unexposed photoresist stronger to development. Lift off the resist and excess metal

So, Guys, there is a plenty of job in your doctorate. Have fun and Good luck!!!!