Similar documents
Reg. No. Question Paper Code : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER Second Semester. Computer Science and Engineering

ELECTRONICS & COMMUNICATION ENGINEERING PROFESSIONAL ETHICS AND HUMAN VALUES

Sample Test Paper - I

KUMARAGURU COLLEGE OF TECHNOLOGY COIMBATORE

Show that the dual of the exclusive-or is equal to its compliment. 7

LOGIC CIRCUITS. Basic Experiment and Design of Electronics. Ho Kyung Kim, Ph.D.

DHANALAKSHMI COLLEGE OF ENGINEERING, CHENNAI DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING CS6201 DIGITAL PRINCIPLES AND SYSTEM DESIGN

LOGIC CIRCUITS. Basic Experiment and Design of Electronics

( c) Give logic symbol, Truth table and circuit diagram for a clocked SR flip-flop. A combinational circuit is defined by the function

SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU DIGITAL INTEGRATED CIRCUITS (DIC) LABORATORY MANUAL III / IV B.E. (ECE) : I - SEMESTER

MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Principles of Digital Techniques

Department of Electrical & Electronics EE-333 DIGITAL SYSTEMS

Appendix B. Review of Digital Logic. Baback Izadi Division of Engineering Programs

Vidyalankar S.E. Sem. III [CMPN] Digital Logic Design and Analysis Prelim Question Paper Solution

S.Y. Diploma : Sem. III [DE/ED/EI/EJ/EN/ET/EV/EX/IC/IE/IS/IU/MU] Principles of Digital Techniques

Fundamentals of Digital Design

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified)

on candidate s understanding. 7) For programming language papers, credit may be given to any other program based on equivalent concept.

DIGITAL LOGIC CIRCUITS

A B D 1 Y D 2 D 3. Truth table for 4 to 1 MUX: A B Y 0 0 D D D D 3

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques

3 Logic Function Realization with MSI Circuits

SAU1A FUNDAMENTALS OF DIGITAL COMPUTERS

INSTITUTEOFAERONAUTICALENGINEERING (Autonomous) Dundigal, Hyderabad

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

EE40 Lec 15. Logic Synthesis and Sequential Logic Circuits

Dept. of ECE, CIT, Gubbi Page 1

Section 3: Combinational Logic Design. Department of Electrical Engineering, University of Waterloo. Combinational Logic

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

Chapter 4. Combinational: Circuits with logic gates whose outputs depend on the present combination of the inputs. elements. Dr.

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) State any two Boolean laws. (Any 2 laws 1 mark each)

Fundamentals of Computer Systems

ECEN 248: INTRODUCTION TO DIGITAL SYSTEMS DESIGN. Week 9 Dr. Srinivas Shakkottai Dept. of Electrical and Computer Engineering

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part A Combinational Logic Building Blocks

DE58/DC58 LOGIC DESIGN DEC 2014

Vidyalankar S.E. Sem. III [EXTC] Digital Electronics Prelim Question Paper Solution ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD = B

Digital Electronics Circuits 2017

Philadelphia University Student Name: Student Number:

UNIVERSITI TENAGA NASIONAL. College of Information Technology

Fundamentals of Computer Systems

Review for B33DV2-Digital Design. Digital Design

Combinational Logic. Mantıksal Tasarım BBM231. section instructor: Ufuk Çelikcan

CHW 261: Logic Design

Digital Circuits and Design

Boolean Algebra and Digital Logic 2009, University of Colombo School of Computing

Combinational Logic Design Combinational Functions and Circuits

WORKBOOK. Try Yourself Questions. Electrical Engineering Digital Electronics. Detailed Explanations of

DIGITAL LOGIC DESIGN

Fundamentals of Boolean Algebra

CPE/EE 422/522. Chapter 1 - Review of Logic Design Fundamentals. Dr. Rhonda Kay Gaede UAH. 1.1 Combinational Logic

Review for Test 1 : Ch1 5

Logic. Combinational. inputs. outputs. the result. system can

Philadelphia University Student Name: Student Number:

Written exam for IE1204/5 Digital Design with solutions Thursday 29/

Synchronous Sequential Logic

Chapter 7 Logic Circuits

Digital Logic: Boolean Algebra and Gates. Textbook Chapter 3

Digital Logic Appendix A

Lecture 7: Logic design. Combinational logic circuits

S.E. Sem. III [ETRX] Digital Circuit Design. t phl. Fig.: Input and output voltage waveforms to define propagation delay times.

CSE140: Components and Design Techniques for Digital Systems. Midterm Information. Instructor: Mohsen Imani. Sources: TSR, Katz, Boriello & Vahid

Contents. Chapter 3 Combinational Circuits Page 1 of 36

Written reexam with solutions for IE1204/5 Digital Design Monday 14/

DIGITAL LOGIC CIRCUITS

Vidyalankar S.E. Sem. III [ETRX] Digital Circuits and Design Prelim Question Paper Solution

King Fahd University of Petroleum and Minerals College of Computer Science and Engineering Computer Engineering Department

Philadelphia University Faculty of Engineering

MAHARASHTRA STATE BOARD OF TECHNICAL EDUCATION (Autonomous) (ISO/IEC Certified) SUMMER 14 EXAMINATION Model Answer

ELEC Digital Logic Circuits Fall 2014 Sequential Circuits (Chapter 6) Finite State Machines (Ch. 7-10)

(Boolean Algebra, combinational circuits) (Binary Codes and -arithmetics)

Boolean Algebra. Digital Logic Appendix A. Postulates, Identities in Boolean Algebra How can I manipulate expressions?

CHAPTER 7. Exercises 17/ / /2 2 0

Lecture A: Logic Design and Gates

EE 209 Logic Cumulative Exam Name:

Sequential vs. Combinational

Appendix A: Digital Logic. Principles of Computer Architecture. Principles of Computer Architecture by M. Murdocca and V. Heuring

COSC 243. Introduction to Logic And Combinatorial Logic. Lecture 4 - Introduction to Logic and Combinatorial Logic. COSC 243 (Computer Architecture)

Written exam with solutions IE1204/5 Digital Design Monday 23/

Models for representing sequential circuits

Save from: cs. Logic design 1 st Class أستاذ المادة: د. عماد

TYPICAL QUESTIONS & ANSWERS

Cs302 Quiz for MID TERM Exam Solved

Digital Logic and Design (Course Code: EE222) Lecture 1 5: Digital Electronics Fundamentals. Evolution of Electronic Devices

Chapter 4: Designing Combinational Systems Uchechukwu Ofoegbu

FSM model for sequential circuits

EECS Components and Design Techniques for Digital Systems. FSMs 9/11/2007

Boolean Algebra. Digital Logic Appendix A. Boolean Algebra Other operations. Boolean Algebra. Postulates, Identities in Boolean Algebra

Systems I: Computer Organization and Architecture

Unit 3 Session - 9 Data-Processing Circuits

Written exam with solutions IE Digital Design Friday 21/

Unit II Chapter 4:- Digital Logic Contents 4.1 Introduction... 4

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING EXAMINATION SEMESTER /2017

Z = F(X) Combinational circuit. A combinational circuit can be specified either by a truth table. Truth Table

CSC 322: Computer Organization Lab

CS470: Computer Architecture. AMD Quad Core

Number System. Decimal to binary Binary to Decimal Binary to octal Binary to hexadecimal Hexadecimal to binary Octal to binary

Chapter 2 Boolean Algebra and Logic Gates

S.Y. Diploma : Sem. III [CO/CM/IF/CD/CW] Digital Techniques s complement 2 s complement 1 s complement

SUMMER 18 EXAMINATION Subject Name: Principles of Digital Techniques Model Answer Subject Code:

Transcription:

vidyarthiplus.com

vidyarthiplus.com

vidyarthiplus.com

ANNA UNIVERSITY- COMBATORE B.E./ B.TECH. DEGREE EXAMINATION - JUNE 2009. ELECTRICAL & ELECTONICS ENGG. - FOURTH SEMESTER DIGITAL LOGIC CIRCUITS PART-A Answer all questions. (20*2=40) 1. State De-Morgans theorem. 2. Briefly explain the streamlined method of converting binary to decimal number with example. 3. Give the Gray code for the binary number (111)2. 4. Subtract the following:0101 1011-0000 0101. 5. Draw a 1 to 16 demultiplexer circuit. 6. What is priority encoder. 7. Show the common-cathode type of seven segment indicator. 8. Design a half adder using NAND gates only. 9. Draw the truth table for a NOR gate RS flip flop. 10. Obtain D flip flop from JK flip flop. 11. Differentiate synchronous counter and Asynchronous counter. 12. Draw a modulo 6 counter.hat is saturation delay time? Explain. 13. Compare bipolar family transistors with MOS family transistors. 14. what is race condition? How can it be eliminated? 15. What is essential hazard? Give an example. 16. Compare volatile data storage with non volatile data storage. 17. How is combinational logic generated in FPGA. 18. What is a DRAM? How is it refreshed? 19. Draw a macrocell of PLD.

PART-B Answer any five. (5*12=60) 1. Simplify the following Boolean expression using three variable maps: a) XY+X'Y'Z'+X'YZ' b) X'Y'+YZ+X'YZ' c) X'Y+YZ+Y'Z 2. Design a 3-bit parity generator circuit and the circuit of a 4-bit parity checker using even and odd parity bit. 3. Discuss in detail about state reduction problem and state assignment problem. 4. Explain the operation of clocked masterslave JK flipflop and D flipflop with neat digrams. 5. Discuss in brief about the design procedure of an asychronous circuits starting from the statement of the problem and culminates in a logic diagram. 6. Explain with a suitable example the procedure for analyzing a synchronous sequential circuit with SR latches. 7. Write short notes on : a) PAL b) FPGA 8. Discuss in detail about the types of Read only memories.

Reg. No. : Time: Three hours 1. Show that (a) (b) B.E./B.Tech. DEGREE EXAMINATION, APRIL/MAY 2010 a + ab ' = a+ b Fourth Semester Electrical and Electronics Engineering EE2255 DIGITAL LOGIC CIRCUITS x ' y' z+ x' yz+ xy' = x' z+ xy'. (Regulation 2008) Answer ALL Questions PART A (10 2 = 20 Marks) 2. Draw the Truth table and logic circuit of half adder. 3. Draw the circuit of SR Flip flop. 4. What are synchronous sequential circuits? 5. Give the characteristic equation and state diagram of JK flip flop. 6. What is a self starting counter? 7. What is the advantage of PLA over ROM? Maximum: 100 Marks 8. Which IC family offers (a) low propagation delay, and (b) low power dissipation? 9. Write HDL for half adder. Question Paper Code: E3090 10. What are the various modeling techniques in HDL?

PART B (5 16 = 80 Marks) 11. (a) (i) Simplify using k-map F ( w, x, y, z) = (0,1,2,4,5,6,8,9,12,13,14). (8) (ii) Design a BCD to Excess-3 code converter. (8) (b) (i) Solve g ( w, x, y, z) = m(1,3,4,6,11) + d(0,8,10,12,13). (8) (ii) Design a decimal adder to add two decimal digits. (8) 12. (a) Design a synchronous sequential circuit using JK for the given state diagram. (16) (b) Design a BCD counter using T flip flop. (16) 13. (a) Design BCD ripple counter using JK flip flop. (16) (b) (i) Reduce the number of states in the following state table. (12) Next state Output Present state x = 0 x = 1 x = 0 x = 1 (ii) a f b 0 0 b d c 0 0 c f e 0 0 d g a 1 0 e d c 0 0 f f b 1 1 g g h 0 1 h g a 1 0 Starting from a, find the output sequence generated with input sequence 01110010011. (4) 2 E 3090

14. (a) (i) Design a combinatorial circuit using ROM. The circuit accepts 3-bit number and generates an output binary number equal to square of input number. (8) (ii) Repeat the above problem using PLA. (8) (b) (i) Compare all the IC logic families based on (1) Power consumption (2) Fan out (3) Power dissipation (4) Propagation delay (5) Switching speed (6) Noise margin. (8) (ii) Describe the different types of memories. (8) (b) (i) Write an HDL behavioral description of JK flip flop using if-else statement based on the value of present state. (8) (ii) Draw the logic diagram for the following module. (8) module seqcrt (A, B, C, Q, CLK) ; input A, B, C, CLK ; output Q ; reg Q, E ; always @ (Posedge CLK) begin E < = A & B ; Q < = E/C ; end end module 3 E 3090

Reg. No. : Time : Three hours B.E./B.Tech. DEGREE EXAMINATION, APRIL/MAY 2011 1. State DeMorgan's theorem. 2. Why is MUX called as data selector? Fourth Semester Electrical and Electronics Engineering EE 2255 DIGITAL LOGIC CIRCUITS (Regulation 2008) Answer ALL questions PART A (10 2 = 20 marks) 3. Write the excitation table for JK flip flop. 4. Write the characteristics table for SR flip flop. 5. State the hazards in asynchronous sequential circuits. Maximum : 100 marks 6. What is the difference between asynchronous and synchronous sequential circuits? Question Paper Code : 11315 7. Name the types of ROM. 8. Define fan in and fan out characteristics of digital logic families. 9. What are ASM? 10. When can RTL be used to represent digital systems?

PART B (5 16 = 80 marks) 11. (a) (i) Reduce the given expressions using Boolean algebra : (1) x y z + x y z+ x yz+ xy z+ xyz (2) ab c + ab c+ a bc+ abc (3) p q' r+ p qr + p qr+ pqr + pq r. (12) (ii) For the given circuit, derive an algebraic expression in SOP form : (4) (b) (i) Reduce the following expression using k-map. (6) (ii) f = x y z+ w xz+ wxyz + wxz+ w xyz. Implement a full adder circuit with (1) Decoder (2) Multiplexer. (10) 12. (a) Draw the state diagram. Derive the state equation and draw the clocked sequential circuit for the following state table. (16) Next state Output Present state x = 0 x = 1 x = 0 x = 1 AB AB AB Y Y 00 00 01 0 0 01 11 01 0 0 10 10 00 0 0 11 10 11 0 0 (b) Design BCD counter using T flip flops, where flip flop inputs are TQ 1, TQ2, TQ4 and TQ 8. (16) 2 11315

13. (a) Design an asynchronous BCD counter. (16) (b) Describe the steps involved in design of asynchronous sequential circuit in detail with an example. (16) 14. (a) (i) Implement the following two Boolean functions with a PLA : F ( A, B, C) = (01,,2,4) 1 F ( A, B, C) = (0,5,6,7). 2 (10) (ii) Describe the characteristics of all types of memories. (6) (b) (i) Write notes on digital logic families. (9) (ii) Design ROM for the following functions. F = 1,2,3); F = (0,2). (7) 1 ( 2 15. (a) Write the VHDL code for mod 6 counter. (16) (b) Describe the RTL in VHDL. (16) 3 11315

B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2010 Fourth Semester PART A (10 2 = 20 Marks) Electrical and Electronics Engineering EE 2255 DIGITAL LOGIC CIRCUITS (Regulation 2008) Time : Three hours Maximum : 100 Marks Answer ALL questions 1. Show that Excess-3 code is self complementing. 2. Add the hexadecimal numbers: 93 and DE. 3. Convert JK flip-flop to T-flip-flop. 4. Mention the major application of Master Slave FF. 5. Draw the state diagram of SR Flip Flop. 6. Define asynchronous sequential machine. 7. State the important characteristics of TTL family. 8. In which type of TTL gate wired ANS logic is possible? 9. Write the VHDL code for AND gate. 10. List the operators available in VHDL. PART B (5 16 = 80 Marks) 11. (a) Reduce the following using tabulation method and verify with K maps. ( ) ( ) = 14, 12, 10, 8, 6, 4, 3, 2, 1, 0,,, D C B A F

(b) Obtain the minimum SOP using Quine Mcclusky s method and verify using K map for the following. m13 m12 m11 m10 m9 m8 m4 m2 m0 12. (a) Design a counter with the sequence 0, 1, 3, 7, 6, 4, 0. (b) The following sequence is to be realized by a counter consisting of 3 JKFF s. A1 0 0 0 0 1 1 0 A2 0 1 1 0 0 1 0 A3 0 1 0 1 1 0 0 Design the counter. 13. (a) (i) List and explain the steps used for analyzing an asynchronous sequential circuit. (8) (ii) Describe procedure to get state table from excitation table in an asynchronous sequential circuit. How does it differ from synchronous sequential circuit? (8) (b) (i) How do you get output specifications from a flow table in asynchronous sequential circuit operating in fundamental mode? (6) (ii) When do you get the critical and non-critical races? How will you obtain race free conditions? (10) 14. (a) (i) Explain the concept, working and characteristics of TTL logic families. (8) (ii) What do you understand by FPGA? Explain the operation and applications? (8) (b) (i) Describe the working of EPROM. List the applications of EPROM.

(8) (ii) Discuss on the concept, operation and characteristics of CMOS technology. (8) 15. (a) Construct a VHDL module listing for a 16:1 MUX that is based on the assign statement. Use a 4-bit select word S3 S2 S1 S0 to map the selected input Pi (i = 0,...15) to the output. (b) (i) Explain the design procedure of RTL using VHDL. (10) (ii) Write a note on VHDL test benches. (6)

Reg. No. : B.E./B.Tech. DEGREE EXAMINATION, NOVEMBER/DECEMBER 2011. Time : Three hours Fourth Semester Electrical and Electronics Engineering EE 2255 DIGITAL LOGIC CIRCUITS (Regulation 2008) Answer ALL questions. PART A (10 2 = 20 marks) Maximum : 100 marks 1. How does don t care condition in k -map help for circuit simplification? 2. What is the difference between decoder and demultiplexer? 3. What is race around condition in Flipflops? 4. How does the state transition diagram of a Moore model differ from Mealy model? 5. What are hazards in asynchronous sequential circuits? 6. What is fundamental mode of operation in asynchronous sequential circuits? 7. What is FPGA? Question Paper Code : 55361 8. Why should we take care while using CMOS devices? 9. What are the operators present in VHDL? 10. What is the meaning of the following RTL Statement? T 1 : ACC ACC and MDR.

PART B (5 16 = 80 marks) 11. (a) Give the simplified expression for the following logic equation where d represents don t care condition. ( A, B, C, D) = Σm( 0, 8,11,12,15) d( 1, 2, 4,7,10,14) f + Represent the simplified expression using logic gates. (16) (b) Write brief notes on the following : (i) DeMorgan s theorem (4) (ii) Comparators (4) (iii) Binary to gray code converter (4) (iv) Multiplexer. (4) 12. (a) Draw the state transition diagram of a sequence detector circuit that detects 1010 from input data stream using Moore model Mealy model. (16) (b) Design a counter using JK flipflop for realizing the following sequence. (16) Q 2 Q 1 Q 0 0 0 0 0 0 1 0 1 1 1 1 1 1 1 0 1 0 0 0 0 0 13. (a) (i) When does oscillation occur in an asynchronous sequential logic circuit? (4) (ii) Draw and explain the state transition diagram of modulo-6 counter in asynchronous sequential logic. (12) 2 55361

(b) (i) State the condition of stability in asynchronous sequential logic. (4) (ii) Design an asynchronous sequential logic circuit for the state transition diagram shown in Fig. 13(b)(ii). (12) Fig. 13(b)(ii) 14. (a) (i) Draw and explain the NOR gate using TTL logic. (8) (ii) Write briefly about the programmable logic array and EPROM. (8) (b) (i) Discuss about the programmable logic devices. (10) (ii) Explain the characteristics of CMOS. (6) 15. (a) Explain in detail the design procedure for register transfer language. (16) (b) (i) Construct a VHDL module for a JK flipflop. (8) (ii) Express how arithmetic and logic operations are expressed using RTL. (8) 3 55361