Thin Wafer Handling Challenges and Emerging Solutions

Similar documents
Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Thin Wafer Handling Debonding Mechanisms

1

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Passionately Innovating With Customers To Create A Connected World

XBC300 Gen2. Fully-automated debonder and Cleaner

2017 IEEE 67th Electronic Components and Technology Conference

SHRINK. STACK. INTEGRATE.

Introduction to Photolithography

A Temporary Bonding and Debonding Technology for TSV Fabrication

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

EV Group. Engineered Substrates for future compound semiconductor devices

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES

SCB10H Series Pressure Elements PRODUCT FAMILY SPEFICIFATION. Doc. No B

SUPPLEMENTARY FIGURES

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

Development of Lift-off Photoresists with Unique Bottom Profile

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

F R A U N H O F E R I N

Resonator Fabrication for Cavity Enhanced, Tunable Si/Ge Quantum Cascade Detectors

MIL-STD-883G METHOD DIE SHEAR STRENGTH

STANDARD: SPECIFICATION FOR PROTECTIVE ENCLOSURE AND CARRIER SYSTEMS USED TO TRANSPORT AND STORE ( INCH EUV RETICLES

Top down and bottom up fabrication

Multilayer Wiring Technology with Grinding Planarization of Dielectric Layer and Via Posts

Hybrid Wafer Level Bonding for 3D IC

Innovative. Technologies. Chemie des Klebens Chemistry of Adhesives. Dr. Jochen Stock, Laboratory Manager CRL Germany: Neuss, November 27 th, 2013

A Novel Approach to TSV Metallization based on Electrografted Copper Nucleation Layers. Claudio Truzzi, PhD Chief Technology Officer Alchimer

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Lecture 8. Photoresists and Non-optical Lithography

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

C-axis Tensile Strength of 2G HTS

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Nordson MARCH Concord, CA, USA

Foundations of MEMS. Chang Liu. McCormick School of Engineering and Applied Science Northwestern University. International Edition Contributions by

Case Study of Electronic Materials Packaging with Poor Metal Adhesion and the Process for Performing Root Cause Failure Analysis

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

Proceedings Novel Method for Adhesion between PI-PDMS Using Butyl Rubber for Large Area Flexible Body Patches

New Die Attach Adhesives Enable Low-Stress MEMS Packaging

Wafer Scale Homogeneous Bilayer Graphene Films by. Chemical Vapor Deposition

Chapter 10 3D Integration Based upon Dielectric Adhesive Bonding

The Solubility is the Solution

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Photolithography 光刻 Part II: Photoresists

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

Carrier Transport by Diffusion

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Fall Exam 1

Advances in Back-side Via Etching of SiC for GaN Device Applications

Techniken der Oberflächenphysik (Techniques of Surface Physics)

MICROCHIP MANUFACTURING by S. Wolf

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Alternative deposition solution for cost reduction of TSV integration

ESH Benign Processes for he Integration of Quantum Dots (QDs)

Competitive Advantages of Ontos7 Atmospheric Plasma

Mechanics of wafer bonding: Effect of clamping

EE C245 ME C218 Introduction to MEMS Design Fall 2007

BALL GRID ARRAY REWORK TRAINING CERTIFICATION TEST (DVD-96C) v.2

Semi-Additive Process for Low Loss Build-Up Material in High Frequency Signal Transmission Substrates

Supplementary Information

Introduction. Photoresist : Type: Structure:

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

EE 527 MICROFABRICATION. Lecture 25 Tai-Chang Chen University of Washington

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Plasma Deposition (Overview) Lecture 1

Figure 1: Graphene release, transfer and stacking processes. The graphene stacking began with CVD

Taurus-Topography. Topography Modeling for IC Technology

LECTURE 5 SUMMARY OF KEY IDEAS

Multilayer Ceramic Chip Capacitors

Ultrasonic Anisotropic Conductive Films (ACFs) Bonding of Flexible Substrates on Organic Rigid Boards at Room Temperature

EE143 LAB. Professor N Cheung, U.C. Berkeley

Microfabrication for MEMS: Part I

In this place, the following terms or expressions are used with the meaning indicated:

Wafer-scale fabrication of graphene

Production of 2G HTS Conductor at SuperPower: Recent Progress and Ongoing Improvements

Three Approaches for Nanopatterning

ETCHING Chapter 10. Mask. Photoresist

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Scaling up Chemical Vapor Deposition Graphene to 300 mm Si substrates

Thermal Interface Materials (TIMs) for IC Cooling. Percy Chinoy

Nano-Attach Project. Hope Chik, Motorola Celestica-iNEMI Technology Forum May 15, 2007

Lecture 0: Introduction

Fabrication Technology, Part I

EE C247B / ME C218 INTRODUCTION TO MEMS DESIGN SPRING 2014 C. Nguyen PROBLEM SET #4

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Solutions for Assignment-8

CORRELATION BETWEEN HOT PLATE EMISSIVITY AND WAFER TEMPERATURE AT LOW TEMPERATURES

Solliance. Perovskite based PV (PSC) Program. TKI Urban Energy Days l e d b y i m e c, E C N a n d T N O

Regents of the University of California

Introducing the RoVaCBE Flagship project: Roll-to-roll Vacuum-processed Carbon Based Electronics. Dr Hazel Assender, University of Oxford

Thermo-Mechanical Analysis of a Multi-Layer MEMS Membrane

Title: Cesa-extend a User Friendly Technology to Enhance Reprocessing and Recycling of Condensation Plastics

Technical Data Sheet. Pb Free. Specification GR101 SSC. Customer. Rev. 02 January 서식번호 : SSC- QP (Rev.0.

Graphene Fundamentals and Emergent Applications

percolating nanotube networks

Solder Self-assembly for MEMS

Additive technologies for the patterning of fine metal tracks onto flexible substrates

nmos IC Design Report Module: EEE 112

Transcription:

1 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA

2 Thin Wafer Handling Challenges and Emerging Solutions Dr. Shari Farrens, Mr. Pete Bisson, Mr. Sumant Sood and Mr. James Hermanowski SUSS MicroTec, 228 Suss Drive, Waterbury Center, VT 05655, USA. Temporary bonding attaches substrates to a carrier so that after thinning to the desired thickness further backside fabrications steps can be conducted with normal process flows in standard semiconductor equipment. The selection of a suitable temporary adhesive is key to the success of thin wafer handling. The major requirements of temporary adhesives are related to its process flow, thermal stability, chemical resistance, and mechanical strength. The ideal thermal stability should allow high temperature processing up to 400C for dielectric deposition in high aspect ratio vias, polymer curing, solder reflow, metal sintering, permanent bonding or other high temperature processing. The adhesive must be resistant to the chemicals commonly used after wafer thinning. Mechanical strength is required to hold the thin wafer rigidly during processing, especially during permanent bonding applications otherwise the thinned wafer will flex and prevent bonding. The challenge arises in finding a simultaneous solution to these problems while allowing for the gentle release of the thinned substrate to its final, permanent substrate or package without yield loss or stress. This paper will highlight some of the more recent solutions for thin wafer handling that have emerged through technology innovation. Introduction Vertical integration of MEMS, IC s, Memory and CMOS Image Sensors is challenged by the demands of form factor requirements, economic benefits of whole wafer processing, and thin wafer fragility. The present 200mm and 300mm thin wafer thicknesses are far beyond self supporting limits and are generally 50 micrometers or less. Temporary wafer bonding and debonding have emerged as challenging but necessary processes used for most 3D integration schemes. Fortunately a variety of solutions have emerged to provide solutions to these challenges. Temporary bonding of the reversible adhesive materials fall into two broad categories: thermal curing or UV curing. Temporary debonding is achieved by thermal release, solvent dissolution, laser release or mechanical methods. The choices are decided by assessing the process flow requirements.

3 Process Flow Assessment The first step is to fully understand what processes will need to be supported between the temporary bonding of a device wafer to a carrier. The most common processing steps that follow temporary bonding are grinding and polishing of the wafer to thicknesses ranging from a few 10 s-100µm. Additional steps may include DRIE (dry reactive ion etching) cavity formation, trench and sidewall depositions of dielectric layers, adhesion layers, or seed layers. Metal deposition to surfaces or trenches via CVD or plating processes is quite common. Most of the steps just described also would include the necessary lithography steps to pattern the features. Table 1 lists the major steps and the types of stresses the temporary bonded pair may see. Clearly, thermal compatibility heads the list of concerns, followed closely by wet chemical considerations. Mechanical strength is an absolute since virtually all wafers on carriers are presently thinned prior to backside processing. TABLE 1. Typical Backside Processing Steps after Temporary Bonding Materials Choices and Properties There are three leading candidates for temporary bonding for CMOS and 3D applications. WaferBOND HT10.10 made by Brewer Science Inc.[1], T-MAT by Thin Materials AG [2], and the 3M Wafer Support System[3] all use polymer materials to support device wafers on carrier for backside processing. Each of these materials has been shown to be successful for thin wafer handling although the details and process flows vary dramatically between each type of material [4]. Brewer Science Inc. WaferBOND HT10.10 HT10.10 is a thermoplastic polymer adhesive that is spin coated onto the substrates. The higher viscosity of temporary adhesives benefit from advanced coating system such as the SUSS MicroTec SC200/300 coating modules that utilize reverse radial hyperbolic dispense motion and closed covers to achieve extremely uniform coverage. Thickness uniformity of the adhesive is a critical parameter to the thin wafer uniformity. After prebake of the adhesive the surface is not tacky although it is not fully cured. Bonding of

4 the wafer to the carrier can be carried out in a low force thermal compression bonder such as the SUSS MicroTec LF200. Bonding is normally a center-on-center alignment between the carrier and the device wafer. The carrier can be oversized relative to the substrate wafer by a few millimeters to provide edge support. The bond process is done in vacuum at 180-200 C with less than 8KN force. LED and Compound Semiconductor applications also use a variety of waxes for temporary bonding that are not discussed in this paper. The primary distinguishing property between the various temporary bonds are the methods of debonding after backside processing. HT10.10, like waxes and high temperature Polyimides, is a thermal plastic material. By definition the material becomes soft as the temperature rises and the substrates can be slid apart. The so called thermalslide approach is easily visualized but requires significant finesse in application. The thinned device wafer is often 25-75µm thick and will crack from shear forces if not properly supported during the thermal slide process. Proper thermal controls are needed to ensure the interface remains at a semi-fluid state with uniform viscosity during the debond. After the debonding is complete, residual adhesive on the carriers is removed by batch cleaning processes. The residues remaining on the device wafer are cleaned in single wafer systems at point of debond in tools such as the SUSS MicroTec CL200/300 with solvents. Figure 1 is a schematic of the entire process flow, exclusive of backside processing details. Figure 1. Brewer Science WaferBOND HT10.10 temporary bond and debond process flow.

5 3M Wafer Support System The 3M wafer support system is based on a UV-cured bond process and a laser release debond. Thus it is imperative that the carrier used to support the device wafers is transparent. UV curing adhesives have been used extensively for permanent bonding to limit thermal expansion differences between vertically integration optical devices. To use the UV adhesive for temporary bonding applications a release layer must also be incorporated in the bond interface that allows for the laser to debond the wafers. The adhesive is coated onto the device wafer and a release layer, also known as the LTHC (light to heat conversion) layer is spun onto the carrier. Bonding is done at room temperature by aligning carrier and device wafer, placing them in physical contact, and exposing the interface to UV radiation in a flood exposure system. Crosslinking occurs at the interface and secures the substrates together. No heat or force are needed to complete the bonding. After completion of the backside processing steps, the thinned and processed device wafer is attached to a taped film frame or additional permanent substrate. The stack is then placed in a debonder that uses a focused laser pulse to deposit energy at the adhesive/lthc interface. The remaining adhesive on the thinned wafer is removed by detaping tape and does not require further cleaning of the surface. Key characteristics of the 3M process include room temperature bond and debond, high thermal stability adhesive, and no post debond cleaning requirements for the device wafer. The process flow for this technology is shown in figure 2. Figure 2. 3M Wafer Support System (WSS) temporary bond and debond process flow.

6 Thin Materials AG (T-MAT) T-MAT temporary bonding also uses a bilayer adhesive interface. A 100-150nm precursor layer is deposited onto the substrate via a simple and rapid PECVD process. Then an elastomer layer is spun onto the wafers and the bonding is done in a thermal compression bonder. The carrier can be any material type and bonding is done at low force and <200 C. T-MAT is unique in its mechanical release mechanism. This material debonds by tensile force application (perpendicular to the interface) at room temperature. The bonds are very strong under shear loading (within plane) and easily withstand aggressive wafer thinning steps (e.g. grinding). The thinned wafer can be support by film frames, additional permanent bonded substrates or electrostatic chucks for the debond process. The separation of the mechanical and thermal properties of the adhesive via the release material allows for tailoring of the adhesive for thermal stability. The process flow for the T-MAT temporary bond/debond process is shown in figure 3. The final step involves cleaning of the device wafer with mild solvent steps to remove slight residue layers. Table II is an overview of the mechanical and thermal properties of the temporary bonding adhesive and the requirements for bonding and debonding. Figure 3. Thin Materials T-MAT bonding and debonding process flow.

7 TABLE 2. Comparison table for the overall process requirements and physical/chemical properties of the temporary bond adhesives.

8 Summary and Conclusions The selection of temporary bonding materials is governed by a comprehensive review of the thinned wafer processing steps. The physical and chemical requirements of these processes are well known and involve standard CMOS fabrication methods. The temporary carrier in essence is the facilitator that allows for the thin wafer to continue in standardized equipment steps. Bonding temporary adhesives is straightforward and uses low force bonders or UV-cure bonders that have been widely used in MEMS industry for nearly twenty years. The precision bonder replaced crude hot plate methods to allow for exacting control over of the bond interface thickness and final wafer yields. Debonding methods can be tailored to the application with regard device compatibility. Thermal, solvent, mechanical and UV/Laser release can be chosen depending on the nature of the process flows. More importantly the variety of materials and carrier combinations enable designers to balance all considerations and arrive at volume manufacturing cost targets in line with market expectations. Acknowledgments The author would like to acknowledge and thank Mark Previtt of Brewer Science, Inc.; Blake Dronen of 3M Corporation; and Dr. Franz Richter of Thin Materials AG for providing data on their respective materials and processes. References 1. Brewer Science Inc. product documentation, http://www.brewerscience.com/ 2. 3M WSS product documentation. 3. Thin Materials AG product documentation. 4. James Hermanowski, Thin Wafer Handling Study of Temporary Wafer Bonding Materials and Processes, to be published in proceedings of the IEEE 3DIC Conference San Francisco, Sept. 27-30, 2009.