SHRINK. STACK. INTEGRATE.

Similar documents
XBC300 Gen2. Fully-automated debonder and Cleaner

LATEST INSIGHTS IN MATERIAL AND PROCESS TECHNOLOGIES FOR INTERPOSER AND 3D STACKING

Update in Material and Process Technologies for 2.5/3D IC Dr. Rainer Knippelmeyer CTO and VP R&D, SÜSS MicroTec AG

Temporary Wafer Bonding - Key Technology for 3D-MEMS Integration

EV Group. Enabling processes for 3D interposer. Dr. Thorsten Matthias EV Group

Thin Wafer Handling Challenges and Emerging Solutions

1

ALIGNMENT ACCURACY IN A MA/BA8 GEN3 USING SUBSTRATE CONFORMAL IMPRINT LITHOGRAPHY (SCIL)

EV Group. Engineered Substrates for future compound semiconductor devices

A Temporary Bonding and Debonding Technology for TSV Fabrication

Chapter 3 : ULSI Manufacturing Technology - (c) Photolithography

Passionately Innovating With Customers To Create A Connected World

Photolithography II ( Part 1 )

Thin Wafer Handling Debonding Mechanisms

F R A U N H O F E R I N

CURRENT STATUS OF NANOIMPRINT LITHOGRAPHY DEVELOPMENT IN CNMM

Techniken der Oberflächenphysik (Techniques of Surface Physics)

Technologies VII. Alternative Lithographic PROCEEDINGS OF SPIE. Douglas J. Resnick Christopher Bencher. Sponsored by. Cosponsored by.

Wet Chemical Processing with Megasonics Assist for the Removal of Bumping Process Photomasks

Lithography and Etching

EV Group Solutions for Compound Semiconductor Manufacturing

Sensors and Metrology. Outline

MICRO AND NANOPROCESSING TECHNOLOGIES

Carrier Transport by Diffusion

Pattern Transfer- photolithography

High NA the Extension Path of EUV Lithography. Dr. Tilmann Heil, Carl Zeiss SMT GmbH

Cost Implications of EUV Lithography Technology Decisions

HSG-IMIT Application AG

Vertically-Integrated Array-Type Miniature Interferometer as a Core Optical Component of a Coherence Tomography System for Tissue Inspection

Self-study problems and questions Processing and Device Technology, FFF110/FYSD13

Hybrid Wafer Level Bonding for 3D IC

Lecture 14 Advanced Photolithography

FRAUNHOFER INSTITUTE FOR SURFACE ENGINEERING AND THIN FILMS IST ATMOSPHERIC PRESSURE PLASMA PROCESSES

Top down and bottom up fabrication

Development status of back-end process for UV-NIL template fabrication

Lecture 8. Photoresists and Non-optical Lithography

Fiducial Marks for EUV mask blanks. Jan-Peter Urbach, James Folta, Cindy Larson, P.A. Kearney, and Thomas White

Structuring and bonding of glass-wafers. Dr. Anke Sanz-Velasco

True Room Temperature Bonding a novel process for the creation of health tech consumables ATB. ir. Richard Bijlard Technogation - Invenios

Resist material for negative tone development process

Fabrication Technology, Part I

Fabrication Engineering at the Micro- and Nanoscale, by Stephen Campbell, 4 th Edition, Oxford University Press

Page Films. we support your innovation

Title: ASML Stepper Semiconductor & Microsystems Fabrication Laboratory Revision: B Rev Date: 12/21/2010

Supplementary Information Our InGaN/GaN multiple quantum wells (MQWs) based one-dimensional (1D) grating structures

The Removal of Nanoparticles from Nanotrenches Using Megasonics


Nano fabrication by e-beam lithographie

Development of Lift-off Photoresists with Unique Bottom Profile

CUSTOM RETICLE SOLUTIONS

Lithography Challenges Moore s Law Rising Costs and Challenges of Advanced Patterning

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences. Professor Ali Javey. Fall 2009.

NanoLambda NSP32-V1 Spectrometer

Wafer-scale fabrication of graphene

MEEN Nanoscale Issues in Manufacturing. Lithography Lecture 1: The Lithographic Process

Micro- and Nano-Technology... for Optics

Overview of EUV Lithography and EUV Optics Contamination

Far IR Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

custom reticle solutions

Actinic review of EUV masks: First results from the AIMS EUV system integration

BONDING PARAMETERS OPTIMIZATION IN LOW TEMPERATURE ADHESIVE WAFER BONDING PROCESS USING SU-8 AS AN INTERMEDIATE ADHESIVE LAYER

Augmented Reality Market Research Report- Global Forecast till 2023

Sensors and Metrology

High Optical Density Photomasks For Large Exposure Applications

Fast Bonding of Substrates for the Formation of Microfluidic Channels at Room Temperature

Ultrafast Nano Imprint Lithography

MSN551 LITHOGRAPHY II

Nanostructures Fabrication Methods

Overview of the main nano-lithography techniques

Photolithography Overview 9/29/03 Brainerd/photoclass/ECE580/Overvie w/overview

Three Approaches for Nanopatterning

ORION NanoFab: An Overview of Applications. White Paper

Chapter 7. Solar Cell

Thermo Scientific ELEMENT GD PLUS Glow Discharge Mass Spectrometer. Defining quality standards for the analysis of solid samples

OPTIMIZATION OF DIELECTRICS SURFACE PREPARATION FOR VACUUM COATING

UNIT 3. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

MICROCHIP MANUFACTURING by S. Wolf

EE C245 ME C218 Introduction to MEMS Design Fall 2007

MICROMECHANICAL TEMPERATURE SENSOR

Supporting Information. Fast Synthesis of High-Performance Graphene by Rapid Thermal Chemical Vapor Deposition

Photomasks. Photolithography Evolution 9/11/2004 ECE580- MPE/MASKS/PHOTOMASKS.PPT

Far IR (FIR) Gas Lasers microns wavelengths, THz frequency Called Terahertz lasers or FIR lasers At this wavelength behaves more like

UHF-ECR Plasma Etching System for Dielectric Films of Next-generation Semiconductor Devices

Micro/nano and precision manufacturing technologies and applications

Lecture 0: Introduction

2017 IEEE 67th Electronic Components and Technology Conference

Kavli Workshop for Journalists. June 13th, CNF Cleanroom Activities

Nanoimprint Lithography

Introduction to Photolithography

Integrating MEMS Electro-Static Driven Micro-Probe and Laser Doppler Vibrometer for Non-Contact Vibration Mode SPM System Design

Regents of the University of California

Supplementary Figure 1 Detailed illustration on the fabrication process of templatestripped

Chapter 3 Basics Semiconductor Devices and Processing

IC Fabrication Technology

There's Plenty of Room at the Bottom

process dependencies in nanoimprint

Solder Self-assembly for MEMS

Alternative deposition solution for cost reduction of TSV integration

SUPPLEMENTARY FIGURES

EUV Lithography Towards Industrialization

Transcription:

SHRINK. STACK. INTEGRATE. SUSS MICROTEC PRODUCT PORTFOLIO

SHAPING THE FUTURE With more than 60 years of engineering experience SUSS MicroTec is a leading supplier of process equipment for microstructuring in the semiconductor industry and related markets. Our portfolio covers a comprehensive range of products and solutions for backend lithography, wafer bonding and photomask processing, complemented by micro-optical components. SUSS MicroTec provides cost-effective solutions with unsurpassed quality and cutting-edge technology, enabling our customers to maximize yield at high throughput thus reducing cost of ownership. In close cooperation with research institutes and industry partners SUSS MicroTec contributes to the advancement of next-generation technologies such as 3D Integration and Nanoimprint lithography as well as key processes for WLP, MEMS and LED manufacturing. With its global infrastructure for applications and service SUSS MicroTec supports more than 8,000 installed systems worldwide.

LITHOGRAPHY SYSTEMS + Proximity Lithography + Projection Lithography + Coating / Developing WAFER BONDING SYSTEMS + Permanent Wafer Bonding + Temporary Wafer Bonding + Debonding + Imprint Lithography LASER PROCESSING SYSTEMS + Laser Ablation + Debonding + Via/RDL Creation PHOTOMASK EQUIPMENT + Cleaning Platforms + Bake / Develop Systems + EUVL Reticle Handling & Inspection

ADVANCED PACKAGING The consumer s constant push for higher functionality on smaller and thinner end devices, - like smart phones, tablets or IoT, - drives the need for next generation packages with finer features and smaller form factor at increasing complexity of the package. Today a wide variety of advanced packaging technologies exist to meet the requirements of the semiconductor industry. The leading advanced packages include Flipchip, WLCSP, FOWLP and 2.5/3D packaging. SUSS MicroTec offers equipment and process solutions for all packaging platforms. This includes Lithography equipment to pattern RDL, TSV structures and flipchip bumps like copper pillar. SUSS MicroTec s temporary bonding and debonding equipment enables processing of superthin device wafers for leading edge 2.5/3D applications. MEMS MEMS (MicroElectroMechanical Systems) are key components in many automotive, industrial, medical, aerospace and consumer applications. MEMS sensors are used in anything from gaming, smartphones, medical testing to satellites. The applications seem unlimited. MEMS are everywhere. Although based on commonly used Silicon wafer processing the manufacturing of MEMS devices requires highly specialized equipment to create mechanical structures that are a fraction of the width of a human hair. Highly flexible exposure and coating systems as well as wafer bonding equipment are essential in the processing of MEMS. From the start of volume manufacturing of MEMS products, SUSS MicroTec has been supplying equipment to the MEMS industry worldwide. LED LEDs (Light Emitting Diode) are based on compound semiconductors (III-V) and widely used in optoelectronic devices, consumer electronics such as tablets and mobile phones, automotive and general lighting applications. The manufacturing of LED devices requires dedicated equipment at lowest cost of ownership for this price-sensitive market. SUSS MicroTec provides exposure, coating, developing and wafer bonding equipment that handles fragile and highly warped wafers, deals with rough surfaces and provides best throughput for high volume manufacturing. Nanoimprint technologies are offered to manufacture specific layers for further light extraction efficiency. Products Photomask Equipment Exposure Systems Coater / Developer Bonding Systems Frontend Backend Process Steps Photomask Cleaning UV Projection (Scanner) Laser Processing (Stepper) Proximity Exposure (Mask Aligner) Micro-/Nanoimprinting Coating Developing Bond Alignment Permanent Bonding Temporary Bonding Debonding Segments Photomask Processing Lithography Wafer Bonder

Process Steps Technologies Photomask Equipment Bake Develop / Etch Clean + 25-Zone Controlled Post Exposure Bake + CD Profile Bake + Mirror Bake + Low Impact ASonic Develop Process + Fan Spray Develop Process + Fan Spray and Puddle Etch Process + 172 nm UV Surface Preparation + Insitu UV + Advanced MegaSonics + Nano Binary + Soft RTP + High Temperature Decontamination + EUV Dual Pod Handling and Cleaning Spin Coating Spray Coating + Thin and Thick Resists and Adhesive Systems + Planarization + BCB + Polyimide + High Topographies up to 600 µm and more + Via Holes + KOH Etched Cavities + V-Grooves and Trenches + Lenses Baking/Cooling + Contact + Proximity + Edge Handling Alignment Proximity Lithography + Top-side Alignment + Bottom-side Alignment + Infrared Alignment + Optical Pattern + + Recognition + Non-Contact Pre-Alignment + Diffraction Reducing Optics + Large Gap Exposure + UV250 UV400 Exposure + Systems + Customized Illumination Lithography/Patterning Projection Lithography Laser Ablation Laser Drilling Laser Cutting + Scan + Step & Repeat + Step & Scan + Large Area Projection + Solid State Laser + Excimer Laser + Solid State Laser + Excimer Laser + Solid State Laser Imprint Lithography Lift-off Developing Cleaning + UV-Nanoimprint Lithography (UV-NIL) + Substrate Conformal Imprint Lithography (SCIL) + SUSS MicroTec Imprint Lithography Equipment (SMILE) + Puddle Nozzle + Fan Spray + High Pressure Nozzle + Recirculation + Aqueous and Solvent Processes + Positive and Negative Tone Resists + Front and Backside Rinse + Fan Spray + Binary Spray + Puddle Nozzle + Aqueous Cleaning + Solvent Cleaning + Megasonic Cleaning + Dual Wafer + Ultrasonic Cleaning Metal Oxide Removal + Formic Acid Vapour Cleaning Prior to Bonding Wafer Handling + Thin Wafer Handling + Warped Wafer Handling + Fragile-Wafer Handling + Edge Handling Plasma Activation + Plasma Activation for Fusion Bonding + Full Surface Activation + Selective Activation Wafer Bonder Bond Alignment Permanent Bonding Temporary Bonding / Debonding + Top-side Alignment + Bottom-side Alignment + Infrared Alignment + Hybrid and Fusion Bonding + Metal Diffusion Bonding + Eutectic and SLID Bonding + Glass Frit Bonding + Anodic Bonding + UV Bonding + Adhesive Bonding + Supporting Various Temporary Bond Materials and Processes + Chemical, Mechanical and Laser Release

MASK ALIGNER (PROXIMITY EXPOSURE) MJB4 up to 100 mm + Mask Alignment + Nanoimprinting MA100/150e Gen2 up to 150 mm + Mask Alignment MA / BA Gen4 series up to 150 mm/200 mm + Mask and Bond Alignment + Fusion Bonding + Micro- and Nanoimprinting Also available as BA Gen4 configuration MA200 Gen3 + Mask Alignment MA / BA Gen4 Pro series up to 150 mm/200 mm + Mask and Bond Alignment + UV Bonding + Fusion Bonding + Micro- and Nanoimprinting + Selective Plasma Activation Also available as BA8 Gen4 Pro configuration MA300 Gen2 + Mask Alignment MA 12 + Mask Alignment

PROJECTION LITHOGRAPHY SYSTEMS DSC300 Gen2 + Alignment + Full-Field Scanning Projection DSC500 up to 450 x 500 mm + Alignment + Full-Field Scanning Projection LASER PROCESSING SYSTEMS ELP300 Gen2 (Excimer Laser) + Alignment + Ablation + Laser-Assisted Debonding SLP300 up to 350 x 350 mm (Solid State Laser/ DPSS) + Alignment + Ablation + Drilling + Cutting

COATING / DEVELOPING SYSTEMS LabSpin6 / 8 + Spin Coating + Puddle Developing AS8 / 12 + Spray Coating RCD8 + Spin Coating + Puddle Developing AD12 Aqueous Processes + Puddle/Spray Developing + Wafer Cleaning HP8 / CP8 / VP8 + Baking / Cooling + Vapor Priming SD12 Solvent Processes + Puddle/Spray Developing + Lift-off + Wafer Cleaning

COATING / DEVELOPING SYSTEMS ACS200 Gen3 + Priming + Spin Coating + Spray Coating + Baking + Aqueous / Solvent Developing ACS300 Gen3 + Priming + Spin Coating + Baking + Aqueous / Solvent Developing

WAFER BONDING SYSTEMS XB8 + High-Force Wafer Bonding XBS200 + High-Force Wafer Bonding + Bond Alignment SB6/8 Gen2 + Wafer Bonding DB12T XBS300 + Adhesive and Release Layer Coating + Plasma Release Layer Deposition + Temporary Wafer Bonding + Thickness and TTV Measurement + Mechanical Debonding ELD300 + Excimer Laser-Assisted Debonding XBC300 Gen2 (wafers or wafers on tape frame) + Excimer Laser-Assisted Debonding + Mechanical Debonding + Cleaning

PHOTOMASK EQUIPMENT HMx Square 3 µm - 250 nm hp + Strip / Clean + Develop + Etch Photomask Processing ASx Series 250 nm - 90 nm hp + Strip / Clean + Develop + Etch and PEB Photomask Processing MaskTrack Pro 193i 2x / 1x, EUVL and NIL + Photomask Cleaning + Template Cleaning + Develop + Bake + EUV Photomask and Dual Pod Management

NORTH AMERICA EUROPE ASIA Japan Korea USA Germany Singapore China Switzerland Taiwan France United Kingdom Headquarters Production Sales Visit www.suss.com/locations for your nearest SUSS representative or contact us: SÜSS MicroTec AG Phone: +49 89 32007-0 info@suss.com www.suss.com Product Overview 03/2017 BR_ProductOverview_2017 V1